Home
last modified time | relevance | path

Searched +refs:process +refs:file +refs:return +refs:signal +refs:string (Results 1 – 25 of 18582) sorted by relevance

12345678910>>...744

/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/ticket20/
H A Dmorten2.vhdl12 signal clk: std_logic := '0';
13 signal rst: std_logic := '1';
14 signal cnt_1: unsigned (7 downto 0);
15 signal cnt_3: unsigned (7 downto 0);
17 function to_bstring(sl : std_logic) return string is
18 alias bstring: string is std_logic'image(sl);
20 --return "" & string'(std_logic'image(sl))(2); -- "" & character to get string
21 return "" & bstring(2);
24 function to_bstring(slv : std_logic_vector) return string is
28 return "";
[all …]
H A Dmorten1.vhdl12 signal clk: std_logic := '0';
13 signal rst: std_logic := '1';
14 signal cnt_1: unsigned (7 downto 0);
15 signal cnt_3: unsigned (7 downto 0);
17 function to_bstring(sl : std_logic) return string is
19 return "" & std_logic'image(sl)(2); -- "" & character to get string
22 function to_bstring(slv : std_logic_vector) return string is
26 return "";
28 return to_bstring(slv_norm(1));
40 file out_file : text open write_mode is "out.txt";
[all …]
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue301/src/
H A Dgeneric_sp_ram.vhd4 --! This file is part of the Creonic Viterbi Decoder, which is distributed
7 --! @file
45 signal sp_ram : t_ram := (others => (others => '0'));
47 function get_ram_style_xilinx(dist_ram : in boolean) return string is
52 return "block";
56 function get_ram_style_altera(dist_ram : in boolean) return string is
61 return "AUTO";
65 attribute RAM_STYLE : string;
68 attribute ramstyle : string;
77 pr_sp_ram_rw: process(clk)
[all …]
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-93/ashenden/compliant/
H A Dch_18_fg_18_11.vhd4 -- This file is part of VESTs (Vhdl tESTs).
39 return drivers(drivers'left);
44 return drivers(drivers'left);
50 signal data : resolve_bytes byte;
52 signal ready : resolve_bits bit;
60 bus_monitor : process is
67 file log : text open write_mode is "buslog";
86 write ( trace_line, string'(" ") );
99 end process bus_monitor;
103 stimulus : process is
[all …]
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-ams/ashenden/compliant/files-and-IO/
H A Dbus_monitor.vhd4 -- This file is part of VESTs (Vhdl tESTs).
32 return drivers(drivers'left);
37 return drivers(drivers'left);
43 signal data : resolve_bytes byte;
45 signal ready : resolve_bits bit;
53 bus_monitor : process is
55 constant header : string(1 to 44)
60 file log : text open write_mode is "buslog";
92 end process bus_monitor;
96 stimulus : process is
[all …]
/dports/lang/ferite/ferite-1.0.2/modules/posix/
H A Dposix.fec75 * @param string pathname The location of the file or directory to test
248 * @param string filename The name of the file to change the permissions of
273 * @param string filename The name of the file to change the owner/group of
299 * @param string filename The name of the file to change the times of
614 * @return The size of the file
891 * @return The PID of the process which terminated or 0 if nohang was
1129 * @return The process ID
1140 * @return The process ID of the parent
1169 * @brief sends the specified signal to the specified process(es)
1269 * or the real user ID of the calling process. The return
[all …]
/dports/lang/scheme48/scheme48-1.9.2/doc/src/
H A Dposix.tex85 \proto{process-id-terminating-signal}{ process-id}{signal or {\tt \#f}}
90 \code{process-id-exit-status} will return its exit status.
92 \code{process-id-exit-status} will return \code{\#f}.
94 \code{process-id-terminating-signal} will return that signal and
95 will return \code{\#f} if the process is still running or terminated
240 \protonoresult{signal-process}{ process-id signal}
268 \code{Dequeue-signal!} and \code{maybe-dequeue-signal} both return
297 will only return signals that are currently on the signal-queue's list
311 These return the process ids of the current process and its parent.
627 \code{Get-file-info} and \code{get-file/link-info} both return
[all …]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/genrams/xilinx/
H A Dgeneric_dpram_dualclock.vhd17 -- - loading initial contents from file
46 g_addr_conflict_resolution : string := "read_first";
47 g_init_file : string := "";
90 -- If no file was given, there is nothing to convert, just return
93 return tmp;
109 return tmp;
112 function f_is_synthesis return boolean is
115 return false;
117 return true;
127 signal clka_int : std_logic;
[all …]
/dports/shells/zsh/zsh-5.8.1/Completion/Linux/Command/
H A D_strace11 '-o+[write the trace output to the file]:output file:->file-pipe' \
15 '-s+[specify the maximum string size to print]:maximum string size [32]' \
20 '(-xx)-x[print all non-ASCII strings in hexadecimal string format]' \
21 '(-x)-xx[print all strings in hexadecimal string format]' \
37 …'-D[run tracer as detached grandchild, keeping traced process as direct child of calling process]'…
41 '(:)*-p+[attach to the process with specified process ID and begin tracing]:process ID:_pids' \
58 'signal[trace only the specified subset of signals]:signal:_sequence _signals -s -M "B\:!="' \
66 _values -s , 'return status [all]' \
82 {%,}'process:trace all system calls which involve process management'
84 {%,}'signal:trace all signal related system calls'
[all …]
/dports/cad/ghdl/ghdl-1.0.0/testsuite/sanity/004all08/
H A Dall08.vhdl14 -- TODO: file param,
57 type text_file is file of string;
59 function is_eof (file t : text_file; fake : boolean) return boolean;
118 function is_eof (file t : text_file; fake : boolean) return boolean is
127 procedure check_is_eof parameter (filename : string)
130 file f2, f3 : text_file;
206 end process;
287 procedure disp_msg (msg : string) is
303 process
307 end process;
[all …]
/dports/editors/textadept/textadept_11.1.linux/core/
H A D.os.luadoc14 -- @param cmd A command line string that contains the program's name followed by
20 -- @param stdout_cb Optional Lua function that accepts a string parameter for a
32 -- @return proc or nil plus an error message on failure
43 -- @return "running" or "terminated"
49 -- @return integer status code
53 -- Reads and returns stdout from process *spawn_proc*, according to string
63 -- @return string of bytes read
67 -- Writes string input to the stdin of process *spawn_proc*.
78 -- (end of file) to it.
82 -- Kills running process *spawn_proc*, or sends it Unix signal *signal*.
[all …]
/dports/editors/textadept/textadept-textadept_11.1/core/
H A D.os.luadoc14 -- @param cmd A command line string that contains the program's name followed by
20 -- @param stdout_cb Optional Lua function that accepts a string parameter for a
32 -- @return proc or nil plus an error message on failure
43 -- @return "running" or "terminated"
49 -- @return integer status code
53 -- Reads and returns stdout from process *spawn_proc*, according to string
63 -- @return string of bytes read
67 -- Writes string input to the stdin of process *spawn_proc*.
78 -- (end of file) to it.
82 -- Kills running process *spawn_proc*, or sends it Unix signal *signal*.
[all …]
/dports/misc/ignition-fuel-tools/ignitionrobotics-ign-fuel-tools-0ffbf3a2c836/test/
H A Dtest_config.h.in38 #include <signal.h>
86 /// \return The string representation of the union of two paths.
91 return (base_path /= str2).string();
109 /// \return On success, the PID of the child process is returned in the
159 // Wait for the child process to return.
167 /// \brief Send a termination signal to the process handled by pi.
182 /// \return A random integer converted to string.
214 /// \brief Populate the temporary file.
225 /// \brief The name of the test file created.
229 /// a text file and check some parser functions.
[all …]
/dports/lang/nim/nim-1.6.2/lib/posix/
H A Dposix_utils.nim58 ## Locks all memory for the running process to prevent swapping.
72 ## Unlocks all memory for the running process to allow swapping.
76 proc sendSignal*(pid: Pid, signal: int) =
77 ## Sends a signal to a running process by calling `kill`.
78 ## Raise exception in case of failure e.g. process not running.
79 if kill(pid, signal.cint) != 0:
83 ## Creates a unique temporary file from a prefix string. A six-character string
101 return ($tmpl, f)
104 proc mkdtemp*(prefix: string): string =
110 return $tmpl
[all …]
/dports/lang/ocaml-nox11/ocaml-4.05.0/stdlib/
H A Dsys.mli24 (** The command line arguments given to the process.
43 (** Remove the given file name from the file system. *)
62 (** Execute the given shell command and return its exit code. *)
70 (** Change the current working directory of the process. *)
73 (** Return the current working directory of the process. *)
172 external signal :
176 previously associated with the signal. If the signal number is
181 (** Same as {!Sys.signal} but return value is ignored. *)
226 (** Child process terminated *)
238 (** Terminal read from background process *)
[all …]
/dports/lang/ocaml/ocaml-4.05.0/stdlib/
H A Dsys.mli24 (** The command line arguments given to the process.
43 (** Remove the given file name from the file system. *)
62 (** Execute the given shell command and return its exit code. *)
70 (** Change the current working directory of the process. *)
73 (** Return the current working directory of the process. *)
172 external signal :
176 previously associated with the signal. If the signal number is
181 (** Same as {!Sys.signal} but return value is ignored. *)
226 (** Child process terminated *)
238 (** Terminal read from background process *)
[all …]
/dports/lang/sbcl/sbcl-1.3.13/src/code/
H A Drun-program.lisp67 string)))
84 (loop for string in octets
142 (if (position signal
150 signal
245 (return)))
248 (return))))
267 (defun process-kill (process signal &optional (whom :pid))
282 (sb-unix:unix-killpg pid signal))
284 (sb-unix:unix-kill pid signal)))
642 ;;; -- "file": dump output to the file.
[all …]
/dports/net/czmq4/czmq-4.2.1/api/
H A Dzproc.api3 Copyright (c) the Contributors as noted in the AUTHORS file.
4 This file is part of CZMQ, the high-level C binding for 0MQ:
9 file, You can obtain one at http://mozilla.org/MPL/2.0/.
38 <argument name = "arguments" type = "string" variadic = "1" />
86 Starts the process, return just before execve/CreateProcess.
101 return true if process is running, false if not yet started or finished
107 wait or poll process status, return return code
113 send SIGTERM signal to the subprocess, wait for grace period and
119 return internal actor, useful for the polling if process died
124 send a signal to the subprocess
[all …]
/dports/security/clamav-lts/clamav-0.103.5/libclamav/c++/llvm/lib/System/Unix/
H A DProgram.inc28 #include <signal.h>
114 // Open the file
117 MakeErrMsg(ErrMsg, "Cannot open file '" + std::string(File) + "' for "
235 // Create a child process.
243 // Child process: Execute the program.
245 // Redirect file descriptors...
299 std::string* ErrMsg)
320 // Parent process: Wait for the child process to terminate.
329 // Turn off the alarm and restore the signal handler
352 // <0 means a signal was returned, -9999999 means the program dumped core.
[all …]
/dports/lang/ocaml/ocaml-4.05.0/otherlibs/unix/
H A DunixLabels.mli159 (** The process was killed by a signal;
160 the argument is the signal number. *)
162 (** The process was stopped by a signal; the argument is the
163 signal number. *)
343 (** Set the current position for a file descriptor, and return the resulting
472 (** Set the process's file mode creation mask, and return the previous
620 and return its termination status. *)
625 and return its termination status. *)
718 val kill : pid:int -> signal:int -> unit
719 (** [kill pid sig] sends signal number [sig] to the process
[all …]
H A Dunix.mli164 (** The process was killed by a signal;
165 the argument is the signal number. *)
167 (** The process was stopped by a signal; the argument is the
168 signal number. *)
385 (** Set the current position for a file descriptor, and return the resulting
532 (** Set the process's file mode creation mask, and return the previous
537 (** Check that the process has the given permissions over the named file.
853 What happens when a process tries to lock a region of a file that is
863 the functions {!Sys.signal} and {!Sys.set_signal}.
867 (** [kill pid sig] sends signal number [sig] to the process
[all …]
/dports/lang/ocaml-nox11/ocaml-4.05.0/otherlibs/unix/
H A DunixLabels.mli159 (** The process was killed by a signal;
160 the argument is the signal number. *)
162 (** The process was stopped by a signal; the argument is the
163 signal number. *)
343 (** Set the current position for a file descriptor, and return the resulting
472 (** Set the process's file mode creation mask, and return the previous
620 and return its termination status. *)
625 and return its termination status. *)
718 val kill : pid:int -> signal:int -> unit
719 (** [kill pid sig] sends signal number [sig] to the process
[all …]
H A Dunix.mli164 (** The process was killed by a signal;
165 the argument is the signal number. *)
167 (** The process was stopped by a signal; the argument is the
168 signal number. *)
385 (** Set the current position for a file descriptor, and return the resulting
532 (** Set the process's file mode creation mask, and return the previous
537 (** Check that the process has the given permissions over the named file.
853 What happens when a process tries to lock a region of a file that is
863 the functions {!Sys.signal} and {!Sys.set_signal}.
867 (** [kill pid sig] sends signal number [sig] to the process
[all …]
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-ams/ashenden/compliant/attributes-and-groups/
H A Dinline_08.vhd4 -- This file is part of VESTs (Vhdl tESTs).
32 attribute cell_name : string;
53 signal enable, clk : bit;
60 attribute representation : string;
71 attribute pin_number of enable : signal is 14;
72 attribute max_wire_delay of clk : signal is 50 ps;
88 process is
89 variable v1 : string(1 to 11);
95 variable v8, v9 : string(1 to 4);
109 v8 := high [ return speed_range ] 'representation ;
[all …]
/dports/textproc/kf5-syntax-highlighting/syntax-highlighting-5.89.0/autotests/input/
H A Dlight52_muldiv.vhdl270 s := new string'("");
316 return L;
326 return Res;
345 process
346 variable s : string(1 to 5);
353 s := 'h' & string'("ello");
356 end process;
362 return TotalSeconds * ClockFrequencyHz -1;
380 function ">"(a, b: my_int) return boolean;
382 process is
[all …]

12345678910>>...744