Home
last modified time | relevance | path

Searched refs:productBitLength (Results 1 – 10 of 10) sorted by relevance

/dports/security/cryptopp/cryptopp-8.6.0/
H A Dnbtheory.cpp265 AlgorithmParameters MakeParametersForTwoPrimesOfEqualSize(unsigned int productBitLength) in MakeParametersForTwoPrimesOfEqualSize() argument
267 if (productBitLength < 16) in MakeParametersForTwoPrimesOfEqualSize()
272 if (productBitLength%2==0) in MakeParametersForTwoPrimesOfEqualSize()
274 minP = Integer(182) << (productBitLength/2-8); in MakeParametersForTwoPrimesOfEqualSize()
275 maxP = Integer::Power2(productBitLength/2)-1; in MakeParametersForTwoPrimesOfEqualSize()
279 minP = Integer::Power2((productBitLength-1)/2); in MakeParametersForTwoPrimesOfEqualSize()
280 maxP = Integer(181) << ((productBitLength+1)/2-8); in MakeParametersForTwoPrimesOfEqualSize()
H A Dnbtheory.h135 …orithmParameters CRYPTOPP_API MakeParametersForTwoPrimesOfEqualSize(unsigned int productBitLength);
/dports/net-p2p/qtum/qtum-mainnet-fastlane-v0.20.3/src/cryptopp/
H A Dnbtheory.cpp267 AlgorithmParameters MakeParametersForTwoPrimesOfEqualSize(unsigned int productBitLength) in MakeParametersForTwoPrimesOfEqualSize() argument
269 if (productBitLength < 16) in MakeParametersForTwoPrimesOfEqualSize()
274 if (productBitLength%2==0) in MakeParametersForTwoPrimesOfEqualSize()
276 minP = Integer(182) << (productBitLength/2-8); in MakeParametersForTwoPrimesOfEqualSize()
277 maxP = Integer::Power2(productBitLength/2)-1; in MakeParametersForTwoPrimesOfEqualSize()
281 minP = Integer::Power2((productBitLength-1)/2); in MakeParametersForTwoPrimesOfEqualSize()
282 maxP = Integer(181) << ((productBitLength+1)/2-8); in MakeParametersForTwoPrimesOfEqualSize()
H A Dnbtheory.h101 …orithmParameters CRYPTOPP_API MakeParametersForTwoPrimesOfEqualSize(unsigned int productBitLength);
/dports/emulators/citra-qt5/citra-ac98458e0/externals/cryptopp/cryptopp/
H A Dnbtheory.cpp265 AlgorithmParameters MakeParametersForTwoPrimesOfEqualSize(unsigned int productBitLength) in MakeParametersForTwoPrimesOfEqualSize() argument
267 if (productBitLength < 16) in MakeParametersForTwoPrimesOfEqualSize()
272 if (productBitLength%2==0) in MakeParametersForTwoPrimesOfEqualSize()
274 minP = Integer(182) << (productBitLength/2-8); in MakeParametersForTwoPrimesOfEqualSize()
275 maxP = Integer::Power2(productBitLength/2)-1; in MakeParametersForTwoPrimesOfEqualSize()
279 minP = Integer::Power2((productBitLength-1)/2); in MakeParametersForTwoPrimesOfEqualSize()
280 maxP = Integer(181) << ((productBitLength+1)/2-8); in MakeParametersForTwoPrimesOfEqualSize()
H A Dnbtheory.h135 …orithmParameters CRYPTOPP_API MakeParametersForTwoPrimesOfEqualSize(unsigned int productBitLength);
/dports/emulators/citra/citra-ac98458e0/externals/cryptopp/cryptopp/
H A Dnbtheory.cpp265 AlgorithmParameters MakeParametersForTwoPrimesOfEqualSize(unsigned int productBitLength) in MakeParametersForTwoPrimesOfEqualSize() argument
267 if (productBitLength < 16) in MakeParametersForTwoPrimesOfEqualSize()
272 if (productBitLength%2==0) in MakeParametersForTwoPrimesOfEqualSize()
274 minP = Integer(182) << (productBitLength/2-8); in MakeParametersForTwoPrimesOfEqualSize()
275 maxP = Integer::Power2(productBitLength/2)-1; in MakeParametersForTwoPrimesOfEqualSize()
279 minP = Integer::Power2((productBitLength-1)/2); in MakeParametersForTwoPrimesOfEqualSize()
280 maxP = Integer(181) << ((productBitLength+1)/2-8); in MakeParametersForTwoPrimesOfEqualSize()
H A Dnbtheory.h135 …orithmParameters CRYPTOPP_API MakeParametersForTwoPrimesOfEqualSize(unsigned int productBitLength);
/dports/sysutils/fusefs-securefs/securefs-0.12.0/external/cryptopp/
H A Dnbtheory.cpp265 AlgorithmParameters MakeParametersForTwoPrimesOfEqualSize(unsigned int productBitLength) in MakeParametersForTwoPrimesOfEqualSize() argument
267 if (productBitLength < 16) in MakeParametersForTwoPrimesOfEqualSize()
272 if (productBitLength%2==0) in MakeParametersForTwoPrimesOfEqualSize()
274 minP = Integer(182) << (productBitLength/2-8); in MakeParametersForTwoPrimesOfEqualSize()
275 maxP = Integer::Power2(productBitLength/2)-1; in MakeParametersForTwoPrimesOfEqualSize()
279 minP = Integer::Power2((productBitLength-1)/2); in MakeParametersForTwoPrimesOfEqualSize()
280 maxP = Integer(181) << ((productBitLength+1)/2-8); in MakeParametersForTwoPrimesOfEqualSize()
H A Dnbtheory.h135 …orithmParameters CRYPTOPP_API MakeParametersForTwoPrimesOfEqualSize(unsigned int productBitLength);