Home
last modified time | relevance | path

Searched refs:regi_tdata (Results 1 – 11 of 11) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/sim/io_port2/pcie_dma_ctrl/
H A Dpcie_dma_ctrl_tb.v50 reg [63:0] regi_tdata; register
70 regi_tdata <= iop2_msg_write(20'h304, 32'hA, 0);
85 .regi_tdata(regi_tdata), .regi_tvalid(regi_tvalid), .regi_tready(regi_tready),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/io_port2/
H A Dpcie_dma_ctrl_tb.v50 reg [63:0] regi_tdata; register
70 regi_tdata <= iop2_msg_write(20'h304, 32'hA, 0);
85 .regi_tdata(regi_tdata), .regi_tvalid(regi_tvalid), .regi_tready(regi_tready),
H A Dpcie_dma_ctrl.v34 input [63:0] regi_tdata, port
74 .message(regi_tdata), .wr_request(regi_wr), .rd_request(regi_rd),
148 .regi_tdata(regi_tdata), .regi_tvalid(regi_tvalid), .regi_tready(),
H A Dpcie_pkt_route_specifier.v18 input [63:0] regi_tdata, port
35 ….message(regi_tdata), .wr_request(reg_wr), .rd_request(reg_rd), .address(reg_addr), .data(reg_data)
H A Dpcie_basic_regs.v16 input [63:0] regi_tdata, port
45 .message(regi_tdata), .wr_request(regi_wr), .rd_request(regi_rd),
H A Dpcie_iop2_msg_arbiter.v23 input [63:0] regi_tdata, port
125 .i_tdata(regi_tdata), .i_tlast(regi_tvalid), .i_tvalid(regi_tvalid), .i_tready(regi_tready),
H A Dpcie_iop2_msg_arbiter_tb.v93 .regi_tdata(msgi_tdata), .regi_tvalid(msgi_tvalid), .regi_tready(msgi_tready),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx300_pcie_int.v94 output [IOP2_MSG_WIDTH-1:0] regi_tdata, port
187 .regi_tdata(msgi_tdata), .regi_tvalid(msgi_tvalid), .regi_tready(msgi_tready),
199 .e3_regi_tdata(regi_tdata), .e3_regi_tvalid(regi_tvalid), .e3_regi_tready(regi_tready),
212 … .regi_tdata(basic_regi_tdata), .regi_tvalid(basic_regi_tvalid), .regi_tready(basic_regi_tready),
222 … .regi_tdata(dmatx_regi_tdata), .regi_tvalid(dmatx_regi_tvalid), .regi_tready(dmatx_regi_tready),
234 … .regi_tdata(dmarx_regi_tdata), .regi_tvalid(dmarx_regi_tvalid), .regi_tready(dmarx_regi_tready),
H A Dx300.v832 .regi_tdata(i_iop2_msg_tdata),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/sim/io_port2/pcie_iop2_msg_arbiter/
H A Dpcie_iop2_msg_arbiter_tb.v93 .regi_tdata(msgi_tdata), .regi_tvalid(msgi_tvalid), .regi_tready(msgi_tready),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/sim/x300_pcie_int/
H A Dx300_pcie_int_tb.sv535 .regi_tdata (iop2_msg_tdata ),