Home
last modified time | relevance | path

Searched refs:s_axis_data_tlast (Results 1 – 25 of 28) sorted by relevance

12

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/
H A Daxi_tag_time.v36 input s_axis_data_tlast, port
86 if (s_axis_data_tlast) begin
135 ….i_tdata({s_axis_data_tdata,s_axis_data_tuser,s_axis_data_tlast}), .i_tvalid(s_axis_data_tvalid), …
H A Daxi_async_stream.v37 input s_axis_data_tlast, port
104 if (s_axis_data_tlast) begin
170 assign o_tlast = s_axis_data_tlast;
H A Daxi_fir_filter.v57 input s_axis_data_tlast, port
224 tlast_shift_reg[0] <= s_axis_data_tlast;
287 …UT == 1) ? ((cnt < PIPELINE_DELAY) ? 1'b0 : tlast_shift_reg[PIPELINE_DELAY-1]) : s_axis_data_tlast;
H A Daxi_fir_filter_dec.v54 ….s_axis_data_tdata(tdata_fir0), .s_axis_data_tlast(i_tlast), .s_axis_data_tvalid(i_tvalid), .s_axi…
63 ….s_axis_data_tdata(tdata_fir1), .s_axis_data_tlast(i_tlast), .s_axis_data_tvalid(i_tvalid), .s_axi…
H A Ddds_timed.v52 .s_axis_data_tdata(i_tdata), .s_axis_data_tlast(i_tlast),
H A Daxi_rate_change.v60 …input [WIDTH-1:0] s_axis_data_tdata, input s_axis_data_tlast, input s_axis_data_tvalid, output s_a… port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_ddc/
H A Drfnoc_block_ddc.v107 wire [ NUM_PORTS-1:0] s_axis_data_tlast; net
176 .s_out_axis_tlast (s_axis_data_tlast),
307 … .s_axis_data_tdata(m_axis_data_tdata[i*ITEM_W+:ITEM_W]), .s_axis_data_tlast(m_axis_data_tlast[i]),
347 ….o_tdata({nc,s_axis_data_tdata[i*ITEM_W+:ITEM_W]}), .o_tlast(s_axis_data_tlast[i]), .o_tvalid(s_ax…
351 .s_axis_data_tdata({1'b0,sample_out_tdata}), .s_axis_data_tlast(1'b0),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/sim/rfnoc/axi_rate_change/
H A Daxi_rate_change_tb.sv31 logic s_axis_data_tlast, s_axis_data_tvalid, s_axis_data_tready; register
48 .s_axis_data_tdata(s_axis_data_tdata), .s_axis_data_tlast(s_axis_data_tlast),
61 s_axis_data_tlast <= 1'b0;
H A Dwave.do29 add wave -noupdate /axi_rate_change_tb/axi_rate_change/s_axis_data_tlast
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_duc/
H A Drfnoc_block_duc.v107 wire [ NUM_PORTS-1:0] s_axis_data_tlast; net
176 .s_out_axis_tlast (s_axis_data_tlast),
303 ….o_tdata(s_axis_data_tdata[ITEM_W*i+:ITEM_W]), .o_tlast(s_axis_data_tlast[i]), .o_tvalid(s_axis_da…
332 ….s_axis_data_tdata(sample_duc_tdata), .s_axis_data_tlast(1'b0), .s_axis_data_tvalid(sample_duc_tva…
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_fir_filter/
H A Drfnoc_fir_filter_core.v184 .s_axis_data_tlast (s_axis_tlast),
215 .s_axis_data_tlast (s_axis_tlast),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/core/
H A Dchdr_stream_endpoint.v72 input wire [NUM_DATA_I-1:0] s_axis_data_tlast, port
387 .i_tdata({s_axis_data_tlast, s_axis_data_tdata}),
404 .i_tdata(s_axis_data_tdata_tmp), .i_tlast(s_axis_data_tlast),
453 .s_axis_data_tlast (axis_dis_tlast),
H A Dchdr_stream_output.v40 input wire s_axis_data_tlast, port
496 chdr_out_tlast = s_axis_data_tlast;
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/
H A Dn310_rfnoc_image_core.v287 .s_axis_data_tlast ({s_ep0_in0_tlast}),
348 .s_axis_data_tlast ({s_ep1_in0_tlast}),
409 .s_axis_data_tlast ({s_ep2_in0_tlast}),
470 .s_axis_data_tlast ({s_ep3_in0_tlast}),
531 .s_axis_data_tlast ({s_ep4_in0_tlast}),
592 .s_axis_data_tlast ({s_ep5_in0_tlast}),
653 .s_axis_data_tlast ({s_ep6_in0_tlast}),
714 .s_axis_data_tlast ({s_ep7_in0_tlast}),
H A Dn310_bist_image_core.v271 .s_axis_data_tlast ({s_ep0_in0_tlast}),
332 .s_axis_data_tlast ({s_ep1_in0_tlast}),
393 .s_axis_data_tlast ({s_ep2_in0_tlast}),
454 .s_axis_data_tlast ({s_ep3_in0_tlast}),
515 .s_axis_data_tlast ({s_ep4_in0_tlast}),
576 .s_axis_data_tlast ({s_ep5_in0_tlast}),
H A Dn300_bist_image_core.v237 .s_axis_data_tlast ({s_ep0_in0_tlast}),
298 .s_axis_data_tlast ({s_ep1_in0_tlast}),
359 .s_axis_data_tlast ({s_ep4_in0_tlast}),
420 .s_axis_data_tlast ({s_ep5_in0_tlast}),
H A Dn300_rfnoc_image_core.v237 .s_axis_data_tlast ({s_ep0_in0_tlast}),
298 .s_axis_data_tlast ({s_ep1_in0_tlast}),
359 .s_axis_data_tlast ({s_ep2_in0_tlast}),
420 .s_axis_data_tlast ({s_ep3_in0_tlast}),
H A Dn320_bist_image_core.v255 .s_axis_data_tlast ({s_ep0_in0_tlast}),
316 .s_axis_data_tlast ({s_ep1_in0_tlast}),
377 .s_axis_data_tlast ({s_ep4_in0_tlast}),
438 .s_axis_data_tlast ({s_ep5_in0_tlast}),
H A Dn320_rfnoc_image_core.v255 .s_axis_data_tlast ({s_ep0_in0_tlast}),
316 .s_axis_data_tlast ({s_ep1_in0_tlast}),
377 .s_axis_data_tlast ({s_ep2_in0_tlast}),
438 .s_axis_data_tlast ({s_ep3_in0_tlast}),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_radio/
H A Drx_frontend_gen3.v208 .s_axis_data_tlast(1'b1),
229 .s_axis_data_tlast(1'b1),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx310_rfnoc_image_core.v272 .s_axis_data_tlast ({s_ep0_in0_tlast}),
333 .s_axis_data_tlast ({s_ep1_in0_tlast}),
394 .s_axis_data_tlast ({s_ep2_in0_tlast}),
455 .s_axis_data_tlast ({s_ep3_in0_tlast}),
516 .s_axis_data_tlast ({s_ep4_in0_tlast}),
577 .s_axis_data_tlast ({s_ep5_in0_tlast}),
H A Dx300_rfnoc_image_core.v272 .s_axis_data_tlast ({s_ep0_in0_tlast}),
333 .s_axis_data_tlast ({s_ep1_in0_tlast}),
394 .s_axis_data_tlast ({s_ep2_in0_tlast}),
455 .s_axis_data_tlast ({s_ep3_in0_tlast}),
516 .s_axis_data_tlast ({s_ep4_in0_tlast}),
577 .s_axis_data_tlast ({s_ep5_in0_tlast}),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/
H A De320_rfnoc_image_core.v228 .s_axis_data_tlast ({s_ep0_in0_tlast}),
289 .s_axis_data_tlast ({s_ep1_in0_tlast}),
350 .s_axis_data_tlast ({s_ep2_in0_tlast}),
411 .s_axis_data_tlast ({s_ep3_in0_tlast}),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e31x/
H A De310_rfnoc_image_core.v156 .s_axis_data_tlast ({s_ep0_in0_tlast}),
217 .s_axis_data_tlast ({s_ep1_in0_tlast}),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/host/python/uhd/imgbuilder/templates/modules/
H A Dstream_endpoints.v.mako70 .s_axis_data_tlast ({${axis_inputs[sep].format(sep,"tlast")}}),

12