Home
last modified time | relevance | path

Searched refs:sfpp_tx_disable (Results 1 – 9 of 9) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/
H A Dn3xx_sfp_wrapper.v72 output sfpp_tx_disable, port
261 .sfpp_tx_disable(sfpp_tx_disable),
327 .sfp_tx_disable_o (sfpp_tx_disable), // out std_logic;
H A Dn3xx_mgt_io_core.v39 output sfpp_tx_disable, port
310 .tx_disable(sfpp_tx_disable)
376 assign sfpp_tx_disable = 1'b0; // Always on.
478 assign sfpp_tx_disable = 1'b0; // Always on.
626 assign sfpp_tx_disable = 1'b0; // Always on.
H A De320.v1098 .sfpp_tx_disable(SFP1_TXDISABLE),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/
H A Dn3xx_mgt_io_core.v42 output sfpp_tx_disable, port
323 .tx_disable(sfpp_tx_disable),
359 .tx_disable(sfpp_tx_disable)
429 assign sfpp_tx_disable = 1'b0; // Always on.
569 assign sfpp_tx_disable = 1'b0; // Always on.
782 assign sfpp_tx_disable = 1'b0; // Always on.
H A Dn3xx_mgt_wrapper.v207 .sfpp_tx_disable(mod_tx_disable),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx300_sfpp_io_core.v36 output sfpp_tx_disable, port
143 .tx_disable(sfpp_tx_disable)
205 assign sfpp_tx_disable = 1'b0; // Always on.
300 assign sfpp_tx_disable = 1'b0; // Always on.
H A Dx300.v991 .sfpp_tx_disable(SFPP0_TxDisable),
1071 .sfpp_tx_disable(SFPP1_TxDisable),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/sim/ten_gig_eth_loopback/
H A Dten_gig_eth_loopback_tb.sv59 wire sfpp_rxlos,sfpp_tx_fault,sfpp_tx_disable; net
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/sim/arm_to_sfp_loopback/
H A Darm_to_sfp_tb.sv59 wire sfpp_rxlos,sfpp_tx_fault,sfpp_tx_disable; net