Home
last modified time | relevance | path

Searched refs:sum_tdata (Results 1 – 3 of 3) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/
H A Daddsub.v14 output [WIDTH*2-1:0] sum_tdata, output sum_tlast, output sum_tvalid, input sum_tready, port
37 ….o0_tdata({sum_tdata,dummy[WIDTH*2-1:0]}), .o0_tlast(sum_tlast), .o0_tvalid(sum_tvalid), .o0_tread…
H A Daddsub.vhd26 sum_tdata : out std_ulogic_vector(width_g * 2 - 1 downto 0); port
139 sum_tdata <= sum(sum'high downto width_g * 2);
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_addsub/
H A Drfnoc_block_addsub.v298 .sum_tdata (s_add_payload_tdata),
322 .sum_tdata (s_add_payload_tdata),