Home
last modified time | relevance | path

Searched refs:flash_addr (Results 1 – 6 of 6) sorted by relevance

/qemu/hw/ssi/
H A Dxlnx-versal-ospi.c428 return op->flash_addr + op->done_bytes; in ind_op_next_byte()
433 return op->flash_addr + op->num_bytes; in ind_op_end_byte()
448 op->flash_addr = flash_addr; in ind_op_setup()
476 ind_op_setup(op, flash_addr, num_bytes); in ospi_ind_op_add()
483 ind_op_setup(op, flash_addr, num_bytes); in ospi_ind_op_add()
653 fifo8_push(&s->tx_fifo, flash_addr >> 8); in ospi_tx_fifo_push_address_raw()
655 fifo8_push(&s->tx_fifo, flash_addr); in ospi_tx_fifo_push_address_raw()
684 ospi_tx_fifo_push_address(s, flash_addr); in ospi_tx_fifo_push_rd_op_addr()
740 ospi_tx_fifo_push_rd_op_addr(s, flash_addr); in ospi_ind_read()
917 ospi_tx_fifo_push_address(s, flash_addr); in ospi_ind_write()
[all …]
H A Dxilinx_spips.c1153 int flash_addr = ((addr & ~(LQSPI_CACHE_SIZE - 1)) in lqspi_load_cache() local
1155 int peripheral = flash_addr >> LQSPI_ADDRESS_BITS; in lqspi_load_cache()
1176 DB_PRINT_L(0, "pushing read address %06x\n", flash_addr); in lqspi_load_cache()
1178 fifo8_push(&s->tx_fifo, (uint8_t)(flash_addr >> 24)); in lqspi_load_cache()
1180 fifo8_push(&s->tx_fifo, (uint8_t)(flash_addr >> 16)); in lqspi_load_cache()
1181 fifo8_push(&s->tx_fifo, (uint8_t)(flash_addr >> 8)); in lqspi_load_cache()
1182 fifo8_push(&s->tx_fifo, (uint8_t)flash_addr); in lqspi_load_cache()
1215 q->lqspi_cached_addr = flash_addr * num_effective_busses(s); in lqspi_load_cache()
H A Dtrace-events9 aspeed_smc_dma_rw(const char *dir, uint32_t flash_addr, uint64_t dram_addr, uint32_t size) "%s flas…
/qemu/include/hw/ssi/
H A Dxlnx-versal-ospi.h70 uint32_t flash_addr; member
/qemu/hw/arm/
H A Dnpcm7xx.c294 const hwaddr *flash_addr; member
300 .flash_addr = npcm7xx_fiu0_flash_addr,
305 .flash_addr = npcm7xx_fiu3_flash_addr,
742 sysbus_mmio_map(sbd, j + 1, npcm7xx_fiu[i].flash_addr[j]); in npcm7xx_realize()
/qemu/tests/qtest/
H A Dpflash-cfi02-test.c149 static inline uint64_t as_byte_addr(const FlashConfig *c, faddr flash_addr) in as_byte_addr() argument
159 return flash_addr.addr * c->bank_width; in as_byte_addr()