1VERSION 5.8 ;
2DIVIDERCHAR "/" ;
3BUSBITCHARS "[]" ;
4DESIGN gcd ;
5UNITS DISTANCE MICRONS 2000 ;
6DIEAREA ( 0 0 ) ( 200260 201600 ) ;
7ROW ROW_0 FreePDK45_38x28_10R_NP_162NW_34O 20140 22400 FS DO 422 BY 1 STEP 380 0 ;
8ROW ROW_1 FreePDK45_38x28_10R_NP_162NW_34O 20140 25200 N DO 422 BY 1 STEP 380 0 ;
9ROW ROW_2 FreePDK45_38x28_10R_NP_162NW_34O 20140 28000 FS DO 422 BY 1 STEP 380 0 ;
10ROW ROW_3 FreePDK45_38x28_10R_NP_162NW_34O 20140 30800 N DO 422 BY 1 STEP 380 0 ;
11ROW ROW_4 FreePDK45_38x28_10R_NP_162NW_34O 20140 33600 FS DO 422 BY 1 STEP 380 0 ;
12ROW ROW_5 FreePDK45_38x28_10R_NP_162NW_34O 20140 36400 N DO 422 BY 1 STEP 380 0 ;
13ROW ROW_6 FreePDK45_38x28_10R_NP_162NW_34O 20140 39200 FS DO 422 BY 1 STEP 380 0 ;
14ROW ROW_7 FreePDK45_38x28_10R_NP_162NW_34O 20140 42000 N DO 422 BY 1 STEP 380 0 ;
15ROW ROW_8 FreePDK45_38x28_10R_NP_162NW_34O 20140 44800 FS DO 422 BY 1 STEP 380 0 ;
16ROW ROW_9 FreePDK45_38x28_10R_NP_162NW_34O 20140 47600 N DO 422 BY 1 STEP 380 0 ;
17ROW ROW_10 FreePDK45_38x28_10R_NP_162NW_34O 20140 50400 FS DO 422 BY 1 STEP 380 0 ;
18ROW ROW_11 FreePDK45_38x28_10R_NP_162NW_34O 20140 53200 N DO 422 BY 1 STEP 380 0 ;
19ROW ROW_12 FreePDK45_38x28_10R_NP_162NW_34O 20140 56000 FS DO 422 BY 1 STEP 380 0 ;
20ROW ROW_13 FreePDK45_38x28_10R_NP_162NW_34O 20140 58800 N DO 422 BY 1 STEP 380 0 ;
21ROW ROW_14 FreePDK45_38x28_10R_NP_162NW_34O 20140 61600 FS DO 422 BY 1 STEP 380 0 ;
22ROW ROW_15 FreePDK45_38x28_10R_NP_162NW_34O 20140 64400 N DO 422 BY 1 STEP 380 0 ;
23ROW ROW_16 FreePDK45_38x28_10R_NP_162NW_34O 20140 67200 FS DO 422 BY 1 STEP 380 0 ;
24ROW ROW_17 FreePDK45_38x28_10R_NP_162NW_34O 20140 70000 N DO 422 BY 1 STEP 380 0 ;
25ROW ROW_18 FreePDK45_38x28_10R_NP_162NW_34O 20140 72800 FS DO 422 BY 1 STEP 380 0 ;
26ROW ROW_19 FreePDK45_38x28_10R_NP_162NW_34O 20140 75600 N DO 422 BY 1 STEP 380 0 ;
27ROW ROW_20 FreePDK45_38x28_10R_NP_162NW_34O 20140 78400 FS DO 422 BY 1 STEP 380 0 ;
28ROW ROW_21 FreePDK45_38x28_10R_NP_162NW_34O 20140 81200 N DO 422 BY 1 STEP 380 0 ;
29ROW ROW_22 FreePDK45_38x28_10R_NP_162NW_34O 20140 84000 FS DO 422 BY 1 STEP 380 0 ;
30ROW ROW_23 FreePDK45_38x28_10R_NP_162NW_34O 20140 86800 N DO 422 BY 1 STEP 380 0 ;
31ROW ROW_24 FreePDK45_38x28_10R_NP_162NW_34O 20140 89600 FS DO 422 BY 1 STEP 380 0 ;
32ROW ROW_25 FreePDK45_38x28_10R_NP_162NW_34O 20140 92400 N DO 422 BY 1 STEP 380 0 ;
33ROW ROW_26 FreePDK45_38x28_10R_NP_162NW_34O 20140 95200 FS DO 422 BY 1 STEP 380 0 ;
34ROW ROW_27 FreePDK45_38x28_10R_NP_162NW_34O 20140 98000 N DO 422 BY 1 STEP 380 0 ;
35ROW ROW_28 FreePDK45_38x28_10R_NP_162NW_34O 20140 100800 FS DO 422 BY 1 STEP 380 0 ;
36ROW ROW_29 FreePDK45_38x28_10R_NP_162NW_34O 20140 103600 N DO 422 BY 1 STEP 380 0 ;
37ROW ROW_30 FreePDK45_38x28_10R_NP_162NW_34O 20140 106400 FS DO 422 BY 1 STEP 380 0 ;
38ROW ROW_31 FreePDK45_38x28_10R_NP_162NW_34O 20140 109200 N DO 422 BY 1 STEP 380 0 ;
39ROW ROW_32 FreePDK45_38x28_10R_NP_162NW_34O 20140 112000 FS DO 422 BY 1 STEP 380 0 ;
40ROW ROW_33 FreePDK45_38x28_10R_NP_162NW_34O 20140 114800 N DO 422 BY 1 STEP 380 0 ;
41ROW ROW_34 FreePDK45_38x28_10R_NP_162NW_34O 20140 117600 FS DO 422 BY 1 STEP 380 0 ;
42ROW ROW_35 FreePDK45_38x28_10R_NP_162NW_34O 20140 120400 N DO 422 BY 1 STEP 380 0 ;
43ROW ROW_36 FreePDK45_38x28_10R_NP_162NW_34O 20140 123200 FS DO 422 BY 1 STEP 380 0 ;
44ROW ROW_37 FreePDK45_38x28_10R_NP_162NW_34O 20140 126000 N DO 422 BY 1 STEP 380 0 ;
45ROW ROW_38 FreePDK45_38x28_10R_NP_162NW_34O 20140 128800 FS DO 422 BY 1 STEP 380 0 ;
46ROW ROW_39 FreePDK45_38x28_10R_NP_162NW_34O 20140 131600 N DO 422 BY 1 STEP 380 0 ;
47ROW ROW_40 FreePDK45_38x28_10R_NP_162NW_34O 20140 134400 FS DO 422 BY 1 STEP 380 0 ;
48ROW ROW_41 FreePDK45_38x28_10R_NP_162NW_34O 20140 137200 N DO 422 BY 1 STEP 380 0 ;
49ROW ROW_42 FreePDK45_38x28_10R_NP_162NW_34O 20140 140000 FS DO 422 BY 1 STEP 380 0 ;
50ROW ROW_43 FreePDK45_38x28_10R_NP_162NW_34O 20140 142800 N DO 422 BY 1 STEP 380 0 ;
51ROW ROW_44 FreePDK45_38x28_10R_NP_162NW_34O 20140 145600 FS DO 422 BY 1 STEP 380 0 ;
52ROW ROW_45 FreePDK45_38x28_10R_NP_162NW_34O 20140 148400 N DO 422 BY 1 STEP 380 0 ;
53ROW ROW_46 FreePDK45_38x28_10R_NP_162NW_34O 20140 151200 FS DO 422 BY 1 STEP 380 0 ;
54ROW ROW_47 FreePDK45_38x28_10R_NP_162NW_34O 20140 154000 N DO 422 BY 1 STEP 380 0 ;
55ROW ROW_48 FreePDK45_38x28_10R_NP_162NW_34O 20140 156800 FS DO 422 BY 1 STEP 380 0 ;
56ROW ROW_49 FreePDK45_38x28_10R_NP_162NW_34O 20140 159600 N DO 422 BY 1 STEP 380 0 ;
57ROW ROW_50 FreePDK45_38x28_10R_NP_162NW_34O 20140 162400 FS DO 422 BY 1 STEP 380 0 ;
58ROW ROW_51 FreePDK45_38x28_10R_NP_162NW_34O 20140 165200 N DO 422 BY 1 STEP 380 0 ;
59ROW ROW_52 FreePDK45_38x28_10R_NP_162NW_34O 20140 168000 FS DO 422 BY 1 STEP 380 0 ;
60ROW ROW_53 FreePDK45_38x28_10R_NP_162NW_34O 20140 170800 N DO 422 BY 1 STEP 380 0 ;
61ROW ROW_54 FreePDK45_38x28_10R_NP_162NW_34O 20140 173600 FS DO 422 BY 1 STEP 380 0 ;
62ROW ROW_55 FreePDK45_38x28_10R_NP_162NW_34O 20140 176400 N DO 422 BY 1 STEP 380 0 ;
63ROW ROW_56 FreePDK45_38x28_10R_NP_162NW_34O 20140 179200 FS DO 422 BY 1 STEP 380 0 ;
64COMPONENTS 5 ;
65    - memSW fakeram45_64x7 + FIXED ( 20140 22400 ) N ;
66    - memNW fakeram45_64x7 + FIXED ( 20140 109200 ) N ;
67    - memC fakeram45_64x7 + FIXED ( 63840 52880 ) N ;
68    - memSE fakeram45_64x7 + FIXED ( 151740 22400 ) N ;
69    - memNE fakeram45_64x7 + FIXED ( 155980 109200 ) N ;
70END COMPONENTS
71PINS 54 ;
72    - clk + NET clk + DIRECTION INPUT + USE SIGNAL + PLACED ( 70 66220 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
73    - req_msg[0] + NET req_msg[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 70 173180 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
74    - req_msg[10] + NET req_msg[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 70 149660 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
75    - req_msg[11] + NET req_msg[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 70 113820 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
76    - req_msg[12] + NET req_msg[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 77710 201530 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
77    - req_msg[13] + NET req_msg[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 154470 70 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
78    - req_msg[14] + NET req_msg[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 187150 70 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
79    - req_msg[15] + NET req_msg[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 45790 201530 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
80    - req_msg[16] + NET req_msg[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 70 78540 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
81    - req_msg[17] + NET req_msg[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 190190 201530 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
82    - req_msg[18] + NET req_msg[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 200190 135100 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
83    - req_msg[19] + NET req_msg[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 70 137900 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
84    - req_msg[1] + NET req_msg[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 200190 16380 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
85    - req_msg[20] + NET req_msg[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 70 161420 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
86    - req_msg[21] + NET req_msg[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 93670 201530 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
87    - req_msg[22] + NET req_msg[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 41990 70 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
88    - req_msg[23] + NET req_msg[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 200190 75740 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
89    - req_msg[24] + NET req_msg[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 70 90300 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
90    - req_msg[25] + NET req_msg[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 200190 99260 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
91    - req_msg[26] + NET req_msg[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 200190 170380 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
92    - req_msg[27] + NET req_msg[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 200190 63420 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
93    - req_msg[28] + NET req_msg[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 70 184940 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
94    - req_msg[29] + NET req_msg[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 70 19180 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
95    - req_msg[2] + NET req_msg[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 200190 51660 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
96    - req_msg[30] + NET req_msg[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 61750 201530 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
97    - req_msg[31] + NET req_msg[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 89870 70 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
98    - req_msg[3] + NET req_msg[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 29830 201530 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
99    - req_msg[4] + NET req_msg[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 200190 39900 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
100    - req_msg[5] + NET req_msg[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 174230 201530 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
101    - req_msg[6] + NET req_msg[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 70 125580 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
102    - req_msg[7] + NET req_msg[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 122550 70 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
103    - req_msg[8] + NET req_msg[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 200190 146860 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
104    - req_msg[9] + NET req_msg[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 70 30940 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
105    - req_rdy + NET req_rdy + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 200190 182140 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
106    - req_val + NET req_val + DIRECTION INPUT + USE SIGNAL + PLACED ( 200190 87500 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
107    - reset + NET reset + DIRECTION INPUT + USE SIGNAL + PLACED ( 73910 70 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
108    - resp_msg[0] + NET resp_msg[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 57950 70 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
109    - resp_msg[10] + NET resp_msg[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 200190 28140 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
110    - resp_msg[11] + NET resp_msg[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 170430 70 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
111    - resp_msg[12] + NET resp_msg[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 138510 70 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
112    - resp_msg[13] + NET resp_msg[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 106590 70 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
113    - resp_msg[14] + NET resp_msg[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 70 102060 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
114    - resp_msg[15] + NET resp_msg[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 26030 70 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
115    - resp_msg[1] + NET resp_msg[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 110390 201530 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
116    - resp_msg[2] + NET resp_msg[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 200190 122780 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
117    - resp_msg[3] + NET resp_msg[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 70 54460 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
118    - resp_msg[4] + NET resp_msg[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 13110 201530 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
119    - resp_msg[5] + NET resp_msg[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 126350 201530 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
120    - resp_msg[6] + NET resp_msg[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 200190 158620 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
121    - resp_msg[7] + NET resp_msg[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 200190 111020 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
122    - resp_msg[8] + NET resp_msg[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 10070 70 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
123    - resp_msg[9] + NET resp_msg[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 142310 201530 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
124    - resp_rdy + NET resp_rdy + DIRECTION INPUT + USE SIGNAL + PLACED ( 158270 201530 ) N + LAYER metal2 ( -70 -70 ) ( 70 70 ) ;
125    - resp_val + NET resp_val + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 70 42700 ) N + LAYER metal3 ( -70 -70 ) ( 70 70 ) ;
126END PINS
127END DESIGN
128