1set_input_delay 0 -min -rise [get_ports nx1]
2set_input_delay 0 -min -fall [get_ports nx1]
3set_input_delay 0 -max -rise [get_ports nx1]
4set_input_delay 0 -max -fall [get_ports nx1]
5set_input_transition 5 -min -rise [get_ports nx1]
6set_input_transition 5 -min -fall [get_ports nx1]
7set_input_transition 5 -max -rise [get_ports nx1]
8set_input_transition 5 -max -fall [get_ports nx1]
9set_input_delay 0 -min -rise [get_ports nx3]
10set_input_delay 0 -min -fall [get_ports nx3]
11set_input_delay 0 -max -rise [get_ports nx3]
12set_input_delay 0 -max -fall [get_ports nx3]
13set_input_transition 5 -min -rise [get_ports nx3]
14set_input_transition 5 -min -fall [get_ports nx3]
15set_input_transition 5 -max -rise [get_ports nx3]
16set_input_transition 5 -max -fall [get_ports nx3]
17set_input_delay 0 -min -rise [get_ports nx2]
18set_input_delay 0 -min -fall [get_ports nx2]
19set_input_delay 0 -max -rise [get_ports nx2]
20set_input_delay 0 -max -fall [get_ports nx2]
21set_input_transition 10 -min -rise [get_ports nx2]
22set_input_transition 15 -min -fall [get_ports nx2]
23set_input_transition 10 -max -rise [get_ports nx2]
24set_input_transition 15 -max -fall [get_ports nx2]
25set_input_delay 0 -min -rise [get_ports nx4]
26set_input_delay 0 -min -fall [get_ports nx4]
27set_input_delay 0 -max -rise [get_ports nx4]
28set_input_delay 0 -max -fall [get_ports nx4]
29set_input_transition 5 -min -rise [get_ports nx4]
30set_input_transition 5 -min -fall [get_ports nx4]
31set_input_transition 5 -max -rise [get_ports nx4]
32set_input_transition 5 -max -fall [get_ports nx4]
33create_clock -period 100 -name virtual_clock
34set_output_delay -9 -min -rise [get_ports nx33] -clock virtual_clock
35set_output_delay -9 -min -fall [get_ports nx33] -clock virtual_clock
36set_output_delay 89 -max -rise [get_ports nx33] -clock virtual_clock
37set_output_delay 89 -max -fall [get_ports nx33] -clock virtual_clock
38set_load -pin_load 4 [get_ports nx33]
39set_output_delay -9 -min -rise [get_ports nx44] -clock virtual_clock
40set_output_delay -9 -min -fall [get_ports nx44] -clock virtual_clock
41set_output_delay 89 -max -rise [get_ports nx44] -clock virtual_clock
42set_output_delay 89 -max -fall [get_ports nx44] -clock virtual_clock
43set_load -pin_load 4 [get_ports nx44]
44set_output_delay -9 -min -rise [get_ports nx12] -clock virtual_clock
45set_output_delay -9 -min -fall [get_ports nx12] -clock virtual_clock
46set_output_delay 89 -max -rise [get_ports nx12] -clock virtual_clock
47set_output_delay 89 -max -fall [get_ports nx12] -clock virtual_clock
48set_load -pin_load 4 [get_ports nx12]
49