1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2003-2009 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(simulator => 1);
12
13compile(
14    verilator_flags2 => ['--cc --coverage-toggle --stats'],
15    );
16
17execute(
18    check_finished => 1,
19    );
20
21# Read the input .v file and do any CHECK_COVER requests
22inline_checks();
23
24file_grep_not("$Self->{obj_dir}/coverage.dat", "largeish");
25
26file_grep($Self->{stats}, qr/Coverage, Toggle points joined\s+(\d+)/i, 25)
27    if $Self->{vlt_all};
28
29ok(1);
301;
31