1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2003 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(vlt => 1);
12
13run(cmd => ["../bin/verilator",
14            "t_flag_noop_bad.v"],
15    fails => 1,
16    logfile => "$Self->{obj_dir}/sim.log",
17    expect_filename => $Self->{golden_filename},
18    verilator_run => 1,
19    );
20
21ok(1);
221;
23