1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2003-2009 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(simulator => 1);
12$Self->{vlt_all} and unsupported("Verilator unsupported, interface generates changing types");
13$Self->{vcs} and unsupported("Commercially unsupported, interface crossrefs");
14
15compile(
16    );
17
18execute(
19    check_finished => 1,
20    );
21
22ok(1);
231;
24