1%Error: t/t_param_noval_bad.v:7:22: Parameter without initial value is never given value (IEEE 1800-2017 6.20.1): 'P'
2                                  : ... In instance t
3    7 | module t #(parameter P);
4      |                      ^
5%Warning-WIDTH: t/t_param_noval_bad.v:10:7: Logical operator GENFOR expects 1 bit on the For Test Condition, but For Test Condition's VARREF 'P' generates 32 bits.
6                                          : ... In instance t
7   10 |       for (j=0; P; j++)
8      |       ^~~
9                ... For warning description see https://verilator.org/warn/WIDTH?v=latest
10                ... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.
11%Error: t/t_param_noval_bad.v:10:7: Non-genvar used in generate for: 'j'
12                                  : ... In instance t
13   10 |       for (j=0; P; j++)
14      |       ^~~
15%Error: t/t_param_noval_bad.v:10:7: Loop unrolling failed.
16                                  : ... In instance t
17   10 |       for (j=0; P; j++)
18      |       ^~~
19%Error-UNSUPPORTED: t/t_param_noval_bad.v:10:7: Unsupported: Can't unroll generate for; Unable to unroll loop
20                                              : ... In instance t
21   10 |       for (j=0; P; j++)
22      |       ^~~
23%Error: t/t_param_noval_bad.v:10:7: For loop doesn't have genvar index, or is malformed
24                                  : ... In instance t
25   10 |       for (j=0; P; j++)
26      |       ^~~
27%Error: Internal Error: t/t_param_noval_bad.v:10:7: ../V3Param.cpp:#: GENFOR should have been wrapped in BEGIN
28                                                  : ... In instance t
29   10 |       for (j=0; P; j++)
30      |       ^~~
31