1// DESCRIPTION: Verilator: Verilog Test module
2//
3// This file ONLY is placed under the Creative Commons Public Domain, for
4// any use, without warranty, 2019 by Wilson Snyder.
5// SPDX-License-Identifier: CC0-1.0
6
7`define stop $stop
8`define checkh(gotv,expv) do if ((gotv) !== (expv)) begin $write("%%Error: %s:%0d:  got='h%x exp='h%x\n", `__FILE__,`__LINE__, (gotv), (expv)); `stop; end while(0);
9`define checks(gotv,expv) do if ((gotv) !== (expv)) begin $write("%%Error: %s:%0d:  got='%s' exp='%s'\n", `__FILE__,`__LINE__, (gotv), (expv)); `stop; end while(0);
10
11module t (/*AUTOARG*/);
12   initial begin
13      string q[$];
14      string v;
15      int    i;
16      int    qi[$:5];
17      int    ri[$];
18
19      q.push_front("non-empty");
20      i = q.size(); `checkh(i, 1);
21      v = $sformatf("%p", q); `checks(v, "'{\"non-empty\"} ");
22
23      q = '{};
24      i = q.size(); `checkh(i, 0);
25
26      q = '{"q"};
27      v = $sformatf("%p", q); `checks(v, "'{\"q\"} ");
28
29      q = {};
30      i = q.size(); `checkh(i, 0);
31
32      q = '{"q", "b", "c", "d", "e", "f"};
33      if (q[0] !== "q") $stop;
34      v = $sformatf("%p", q); `checks(v, "'{\"q\", \"b\", \"c\", \"d\", \"e\", \"f\"} ");
35
36      q = {"q", "b", "c", "d", "e", "f"};
37      v = $sformatf("%p", q); `checks(v, "'{\"q\", \"b\", \"c\", \"d\", \"e\", \"f\"} ");
38
39      q.delete(1);
40      v = q[1]; `checks(v, "c");
41      v = $sformatf("%p", q); `checks(v, "'{\"q\", \"c\", \"d\", \"e\", \"f\"} ");
42
43      q.insert(0, "ins0");
44      q.insert(2, "ins2");
45      v = q[0]; `checks(v, "ins0");
46      v = q[2]; `checks(v, "ins2");
47      v = $sformatf("%p", q); `checks(v, "'{\"ins0\", \"q\", \"ins2\", \"c\", \"d\", \"e\", \"f\"} ");
48
49      // Slicing
50      q = '{"q", "b", "c", "d", "e", "f"};
51      q = q[-1:0];
52      v = $sformatf("%p", q); `checks(v, "'{\"q\"} ");
53      q = '{"q", "b", "c", "d", "e", "f"};
54      q = q[2:3];
55      v = $sformatf("%p", q); `checks(v, "'{\"c\", \"d\"} ");
56      q = '{"q", "b", "c", "d", "e", "f"};
57      q = q[3:$];
58      v = $sformatf("%p", q); `checks(v, "'{\"d\", \"e\", \"f\"} ");
59      q = q[$:$];
60      v = $sformatf("%p", q); `checks(v, "'{\"f\"} ");
61
62      // Similar using implied notation
63      q = '{"f"};
64      q = {q, "f1"};  // push_front
65      q = {q, "f2"};  // push_front
66      q = {"b1", q};  // push_back
67      q = {"b2", q};  // push_back
68      v = $sformatf("%p", q); `checks(v, "'{\"b2\", \"b1\", \"f\", \"f1\", \"f2\"} ");
69
70      q = {q[0], q[2:$]};  // delete element 1
71      v = $sformatf("%p", q); `checks(v, "'{\"b2\", \"f\", \"f1\", \"f2\"} ");
72
73      q = {"a", "b"};
74      q = {q, q};
75      v = $sformatf("%p", q); `checks(v, "'{\"a\", \"b\", \"a\", \"b\"} ");
76
77      begin
78         string ai[$] = '{ "Foo", "Bar" };
79         q = ai;  // Copy
80         i = q.size(); `checkh(i, 2);
81         v = q.pop_front(); `checks(v, "Foo");
82         v = q.pop_front(); `checks(v, "Bar");
83         q = '{ "BB", "CC" };  // Note '{} not {}
84         v = q.pop_front(); `checks(v, "BB");
85         v = q.pop_front(); `checks(v, "CC");
86         q = { "BB", "CC" };  // Note {} not '{}
87         v = q.pop_front(); `checks(v, "BB");
88         v = q.pop_front(); `checks(v, "CC");
89      end
90
91      begin
92         qi.push_back(0);
93         qi.push_back(1);
94         qi.push_back(2);
95         qi.push_back(3);
96         qi.push_back(4);
97         qi.push_back(5);
98
99         // Assignment to unsized queue from sized queue
100         ri = qi[ 2 : 4 ];
101         `checkh(ri.size, 3);
102         ri = qi[ 4 : 2 ];
103         `checkh(ri.size, 0);
104         ri = qi[ 2 : 2 ];
105         `checkh(ri.size, 1);
106         ri = qi[ -2 : 2 ]; // 2 - 0 + 1 = 3
107         `checkh(ri.size, 3);
108         ri = qi[ 2 : 10 ]; // 5 - 2 + 1 = 4
109         `checkh(ri.size, 4);
110
111         // Assignment from unsized to sized
112         ri = '{1,2,3,4,5,6,7,8,9};
113         qi = ri;
114         `checkh(qi.size, 5);
115      end
116
117      $write("*-* All Finished *-*\n");
118      $finish;
119   end
120
121endmodule
122