1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2015 by Todd Strader. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(vlt_all => 1);
12
13compile(
14    make_top_shell => 0,
15    make_main => 0,
16    v_flags2 => ["--trace --exe $Self->{t_dir}/$Self->{name}.cpp"],
17    );
18
19execute(
20    check_finished => 1,
21    );
22
23ok(1);
241;
25