1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2003 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(vlt_all => 1);
12
13top_filename('t/t_time_stamp64.v');
14
15# Verilator before 4.033 had 'double sc_time_stamp()', make sure this still compiles
16$self->{vl_time_stamp64} = 0;
17
18compile(
19    verilator_flags2 => [],
20    );
21
22execute(
23    check_finished => 1,
24    );
25
26ok(1);
27
281;
29