1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2003-2009 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(vlt => 1);
12
13top_filename("t/t_trace_array.v");
14golden_filename("t/t_trace_array.out");
15
16compile(
17    verilator_flags2 => ['--cc --trace --trace-threads 1 --trace-structs'],
18    );
19
20execute(
21    check_finished => 1,
22    );
23
24vcd_identical($Self->trace_filename, $Self->{golden_filename});
25
26ok(1);
271;
28