1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2010 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(simulator => 1);
12
13skip("Known compiler limitation")
14    if $Self->cxx_version =~ /\(GCC\) 4.4/;
15
16VM_PREFIX("Vt_vpi_get");
17top_filename("t/t_vpi_get.v");
18pli_filename("t_vpi_get.cpp");
19
20compile(
21    make_top_shell => 0,
22    make_main => 0,
23    verilator_flags2 => ["--exe --vpi"
24                         . " --public-flat-rw --prefix Vt_vpi_get --no-l2name"
25                         . " $Self->{t_dir}/t_vpi_get.cpp"],
26    make_pli => 1,
27    iv_flags2 => ["-g2005-sv -D USE_VPI_NOT_DPI"],
28    v_flags2 => ["+define+USE_VPI_NOT_DPI"],
29);
30
31execute(
32    use_libvpi => 1,
33    check_finished => 1
34);
35
36ok(1);
371;
38