1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2010 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(simulator => 1);
12
13compile(
14    make_top_shell => 0,
15    make_main => 0,
16    sim_time => 2100,
17    v_flags2 => ["t/t_vpi_time_cb_c.cpp"],
18    iv_flags2 => ["-g2005-sv -DWAVES -DIVERILOG"],
19    verilator_flags2 => ["--exe --vpi --no-l2name $Self->{t_dir}/t_vpi_time_cb.cpp"],
20    );
21
22execute(
23    check_finished => 1,
24    );
25
26ok(1);
271;
28