1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2010 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(simulator => 1);
12
13compile(
14    make_main => 0,
15    make_pli => 1,
16    sim_time => 2100,
17    iv_flags2 => ["-g2005-sv -D USE_VPI_NOT_DPI -DWAVES -DIVERILOG"],
18    v_flags2 => ["+define+USE_VPI_NOT_DPI"],
19    verilator_flags2 => ["--exe --vpi --no-l2name $Self->{t_dir}/t_vpi_zero_time_cb.cpp -LDFLAGS '-ldl -rdynamic'"],
20    );
21
22execute(
23    use_libvpi => 1,
24    check_finished => 1,
25    all_run_flags => ['+PLUS +INT=1234 +STRSTR']
26    );
27
28ok(1);
291;
30