1    // CtrlPort Clock and Reset
2    .ctrlport_clk              (ctrlport_clk),
3    .ctrlport_rst              (ctrlport_rst),
4    // CtrlPort Master
5    .m_ctrlport_req_wr         (m_ctrlport_req_wr),
6    .m_ctrlport_req_rd         (m_ctrlport_req_rd),
7    .m_ctrlport_req_addr       (m_ctrlport_req_addr),
8    .m_ctrlport_req_data       (m_ctrlport_req_data),
9%if config['control']['ctrlport']['byte_mode']:
10    .m_ctrlport_req_byte_en    (m_ctrlport_req_byte_en),
11%endif
12%if config['control']['ctrlport']['timed']:
13    .m_ctrlport_req_has_time   (m_ctrlport_req_has_time),
14    .m_ctrlport_req_time       (m_ctrlport_req_time),
15%endif
16    .m_ctrlport_resp_ack       (m_ctrlport_resp_ack),
17%if config['control']['ctrlport']['has_status']:
18    .m_ctrlport_resp_status    (m_ctrlport_resp_status),
19%endif
20    .m_ctrlport_resp_data      (m_ctrlport_resp_data),
21%if config['control']['interface_direction'] != "slave":
22    // CtrlPort Slave
23    .s_ctrlport_req_wr         (s_ctrlport_req_wr),
24    .s_ctrlport_req_rd         (s_ctrlport_req_rd),
25    .s_ctrlport_req_addr       (s_ctrlport_req_addr),
26    .s_ctrlport_req_portid     (s_ctrlport_req_portid),
27  %if config['control']['interface_direction'] == "remote_master_slave":
28    .s_ctrlport_req_rem_epid   (s_ctrlport_req_rem_epid),
29    .s_ctrlport_req_rem_portid (s_ctrlport_req_rem_portid),
30  %endif
31    .s_ctrlport_req_data       (s_ctrlport_req_data),
32  %if config['control']['ctrlport']['byte_mode']:
33    .s_ctrlport_req_byte_en    (s_ctrlport_req_byte_en),
34  %endif
35  %if config['control']['ctrlport']['timed']:
36    .s_ctrlport_req_has_time   (s_ctrlport_req_has_time),
37    .s_ctrlport_req_time       (s_ctrlport_req_time),
38  %endif
39    .s_ctrlport_resp_ack       (s_ctrlport_resp_ack),
40  %if config['control']['ctrlport']['has_status']:
41    .s_ctrlport_resp_status    (s_ctrlport_resp_status),
42  %endif
43    .s_ctrlport_resp_data      (s_ctrlport_resp_data),
44%endif
45