1// RUN: llvm-mc -arch=amdgcn -mcpu=gfx900 -show-encoding %s | FileCheck %s
2
3s_add_u32 s5, s1, s2
4// CHECK: [0x01,0x02,0x05,0x80]
5
6s_add_u32 s101, s1, s2
7// CHECK: [0x01,0x02,0x65,0x80]
8
9s_add_u32 flat_scratch_lo, s1, s2
10// CHECK: [0x01,0x02,0x66,0x80]
11
12s_add_u32 flat_scratch_hi, s1, s2
13// CHECK: [0x01,0x02,0x67,0x80]
14
15s_add_u32 vcc_lo, s1, s2
16// CHECK: [0x01,0x02,0x6a,0x80]
17
18s_add_u32 vcc_hi, s1, s2
19// CHECK: [0x01,0x02,0x6b,0x80]
20
21s_add_u32 ttmp15, s1, s2
22// CHECK: [0x01,0x02,0x7b,0x80]
23
24s_add_u32 m0, s1, s2
25// CHECK: [0x01,0x02,0x7c,0x80]
26
27s_add_u32 exec_lo, s1, s2
28// CHECK: [0x01,0x02,0x7e,0x80]
29
30s_add_u32 exec_hi, s1, s2
31// CHECK: [0x01,0x02,0x7f,0x80]
32
33s_add_u32 s5, s101, s2
34// CHECK: [0x65,0x02,0x05,0x80]
35
36s_add_u32 s5, flat_scratch_lo, s2
37// CHECK: [0x66,0x02,0x05,0x80]
38
39s_add_u32 s5, flat_scratch_hi, s2
40// CHECK: [0x67,0x02,0x05,0x80]
41
42s_add_u32 s5, vcc_lo, s2
43// CHECK: [0x6a,0x02,0x05,0x80]
44
45s_add_u32 s5, vcc_hi, s2
46// CHECK: [0x6b,0x02,0x05,0x80]
47
48s_add_u32 s5, ttmp15, s2
49// CHECK: [0x7b,0x02,0x05,0x80]
50
51s_add_u32 s5, m0, s2
52// CHECK: [0x7c,0x02,0x05,0x80]
53
54s_add_u32 s5, exec_lo, s2
55// CHECK: [0x7e,0x02,0x05,0x80]
56
57s_add_u32 s5, exec_hi, s2
58// CHECK: [0x7f,0x02,0x05,0x80]
59
60s_add_u32 s5, 0, s2
61// CHECK: [0x80,0x02,0x05,0x80]
62
63s_add_u32 s5, -1, s2
64// CHECK: [0xc1,0x02,0x05,0x80]
65
66s_add_u32 s5, 0.5, s2
67// CHECK: [0xf0,0x02,0x05,0x80]
68
69s_add_u32 s5, -4.0, s2
70// CHECK: [0xf7,0x02,0x05,0x80]
71
72s_add_u32 s5, src_vccz, s2
73// CHECK: [0xfb,0x02,0x05,0x80]
74
75s_add_u32 s5, src_execz, s2
76// CHECK: [0xfc,0x02,0x05,0x80]
77
78s_add_u32 s5, src_scc, s2
79// CHECK: [0xfd,0x02,0x05,0x80]
80
81s_add_u32 s5, 0xaf123456, s2
82// CHECK: [0xff,0x02,0x05,0x80,0x56,0x34,0x12,0xaf]
83
84s_add_u32 s5, 0x3f717273, s2
85// CHECK: [0xff,0x02,0x05,0x80,0x73,0x72,0x71,0x3f]
86
87s_add_u32 s5, s1, s101
88// CHECK: [0x01,0x65,0x05,0x80]
89
90s_add_u32 s5, s1, flat_scratch_lo
91// CHECK: [0x01,0x66,0x05,0x80]
92
93s_add_u32 s5, s1, flat_scratch_hi
94// CHECK: [0x01,0x67,0x05,0x80]
95
96s_add_u32 s5, s1, vcc_lo
97// CHECK: [0x01,0x6a,0x05,0x80]
98
99s_add_u32 s5, s1, vcc_hi
100// CHECK: [0x01,0x6b,0x05,0x80]
101
102s_add_u32 s5, s1, ttmp15
103// CHECK: [0x01,0x7b,0x05,0x80]
104
105s_add_u32 s5, s1, m0
106// CHECK: [0x01,0x7c,0x05,0x80]
107
108s_add_u32 s5, s1, exec_lo
109// CHECK: [0x01,0x7e,0x05,0x80]
110
111s_add_u32 s5, s1, exec_hi
112// CHECK: [0x01,0x7f,0x05,0x80]
113
114s_add_u32 s5, s1, 0
115// CHECK: [0x01,0x80,0x05,0x80]
116
117s_add_u32 s5, s1, -1
118// CHECK: [0x01,0xc1,0x05,0x80]
119
120s_add_u32 s5, s1, 0.5
121// CHECK: [0x01,0xf0,0x05,0x80]
122
123s_add_u32 s5, s1, -4.0
124// CHECK: [0x01,0xf7,0x05,0x80]
125
126s_add_u32 s5, s1, src_vccz
127// CHECK: [0x01,0xfb,0x05,0x80]
128
129s_add_u32 s5, s1, src_execz
130// CHECK: [0x01,0xfc,0x05,0x80]
131
132s_add_u32 s5, s1, src_scc
133// CHECK: [0x01,0xfd,0x05,0x80]
134
135s_add_u32 s5, s1, 0xaf123456
136// CHECK: [0x01,0xff,0x05,0x80,0x56,0x34,0x12,0xaf]
137
138s_add_u32 s5, s1, 0x3f717273
139// CHECK: [0x01,0xff,0x05,0x80,0x73,0x72,0x71,0x3f]
140
141s_sub_u32 s5, s1, s2
142// CHECK: [0x01,0x02,0x85,0x80]
143
144s_sub_u32 s101, s1, s2
145// CHECK: [0x01,0x02,0xe5,0x80]
146
147s_sub_u32 flat_scratch_lo, s1, s2
148// CHECK: [0x01,0x02,0xe6,0x80]
149
150s_sub_u32 flat_scratch_hi, s1, s2
151// CHECK: [0x01,0x02,0xe7,0x80]
152
153s_sub_u32 vcc_lo, s1, s2
154// CHECK: [0x01,0x02,0xea,0x80]
155
156s_sub_u32 vcc_hi, s1, s2
157// CHECK: [0x01,0x02,0xeb,0x80]
158
159s_sub_u32 ttmp15, s1, s2
160// CHECK: [0x01,0x02,0xfb,0x80]
161
162s_sub_u32 m0, s1, s2
163// CHECK: [0x01,0x02,0xfc,0x80]
164
165s_sub_u32 exec_lo, s1, s2
166// CHECK: [0x01,0x02,0xfe,0x80]
167
168s_sub_u32 exec_hi, s1, s2
169// CHECK: [0x01,0x02,0xff,0x80]
170
171s_sub_u32 s5, s101, s2
172// CHECK: [0x65,0x02,0x85,0x80]
173
174s_sub_u32 s5, flat_scratch_lo, s2
175// CHECK: [0x66,0x02,0x85,0x80]
176
177s_sub_u32 s5, flat_scratch_hi, s2
178// CHECK: [0x67,0x02,0x85,0x80]
179
180s_sub_u32 s5, vcc_lo, s2
181// CHECK: [0x6a,0x02,0x85,0x80]
182
183s_sub_u32 s5, vcc_hi, s2
184// CHECK: [0x6b,0x02,0x85,0x80]
185
186s_sub_u32 s5, ttmp15, s2
187// CHECK: [0x7b,0x02,0x85,0x80]
188
189s_sub_u32 s5, m0, s2
190// CHECK: [0x7c,0x02,0x85,0x80]
191
192s_sub_u32 s5, exec_lo, s2
193// CHECK: [0x7e,0x02,0x85,0x80]
194
195s_sub_u32 s5, exec_hi, s2
196// CHECK: [0x7f,0x02,0x85,0x80]
197
198s_sub_u32 s5, 0, s2
199// CHECK: [0x80,0x02,0x85,0x80]
200
201s_sub_u32 s5, -1, s2
202// CHECK: [0xc1,0x02,0x85,0x80]
203
204s_sub_u32 s5, 0.5, s2
205// CHECK: [0xf0,0x02,0x85,0x80]
206
207s_sub_u32 s5, -4.0, s2
208// CHECK: [0xf7,0x02,0x85,0x80]
209
210s_sub_u32 s5, src_vccz, s2
211// CHECK: [0xfb,0x02,0x85,0x80]
212
213s_sub_u32 s5, src_execz, s2
214// CHECK: [0xfc,0x02,0x85,0x80]
215
216s_sub_u32 s5, src_scc, s2
217// CHECK: [0xfd,0x02,0x85,0x80]
218
219s_sub_u32 s5, 0xaf123456, s2
220// CHECK: [0xff,0x02,0x85,0x80,0x56,0x34,0x12,0xaf]
221
222s_sub_u32 s5, 0x3f717273, s2
223// CHECK: [0xff,0x02,0x85,0x80,0x73,0x72,0x71,0x3f]
224
225s_sub_u32 s5, s1, s101
226// CHECK: [0x01,0x65,0x85,0x80]
227
228s_sub_u32 s5, s1, flat_scratch_lo
229// CHECK: [0x01,0x66,0x85,0x80]
230
231s_sub_u32 s5, s1, flat_scratch_hi
232// CHECK: [0x01,0x67,0x85,0x80]
233
234s_sub_u32 s5, s1, vcc_lo
235// CHECK: [0x01,0x6a,0x85,0x80]
236
237s_sub_u32 s5, s1, vcc_hi
238// CHECK: [0x01,0x6b,0x85,0x80]
239
240s_sub_u32 s5, s1, ttmp15
241// CHECK: [0x01,0x7b,0x85,0x80]
242
243s_sub_u32 s5, s1, m0
244// CHECK: [0x01,0x7c,0x85,0x80]
245
246s_sub_u32 s5, s1, exec_lo
247// CHECK: [0x01,0x7e,0x85,0x80]
248
249s_sub_u32 s5, s1, exec_hi
250// CHECK: [0x01,0x7f,0x85,0x80]
251
252s_sub_u32 s5, s1, 0
253// CHECK: [0x01,0x80,0x85,0x80]
254
255s_sub_u32 s5, s1, -1
256// CHECK: [0x01,0xc1,0x85,0x80]
257
258s_sub_u32 s5, s1, 0.5
259// CHECK: [0x01,0xf0,0x85,0x80]
260
261s_sub_u32 s5, s1, -4.0
262// CHECK: [0x01,0xf7,0x85,0x80]
263
264s_sub_u32 s5, s1, src_vccz
265// CHECK: [0x01,0xfb,0x85,0x80]
266
267s_sub_u32 s5, s1, src_execz
268// CHECK: [0x01,0xfc,0x85,0x80]
269
270s_sub_u32 s5, s1, src_scc
271// CHECK: [0x01,0xfd,0x85,0x80]
272
273s_sub_u32 s5, s1, 0xaf123456
274// CHECK: [0x01,0xff,0x85,0x80,0x56,0x34,0x12,0xaf]
275
276s_sub_u32 s5, s1, 0x3f717273
277// CHECK: [0x01,0xff,0x85,0x80,0x73,0x72,0x71,0x3f]
278
279s_add_i32 s5, s1, s2
280// CHECK: [0x01,0x02,0x05,0x81]
281
282s_add_i32 s101, s1, s2
283// CHECK: [0x01,0x02,0x65,0x81]
284
285s_add_i32 flat_scratch_lo, s1, s2
286// CHECK: [0x01,0x02,0x66,0x81]
287
288s_add_i32 flat_scratch_hi, s1, s2
289// CHECK: [0x01,0x02,0x67,0x81]
290
291s_add_i32 vcc_lo, s1, s2
292// CHECK: [0x01,0x02,0x6a,0x81]
293
294s_add_i32 vcc_hi, s1, s2
295// CHECK: [0x01,0x02,0x6b,0x81]
296
297s_add_i32 ttmp15, s1, s2
298// CHECK: [0x01,0x02,0x7b,0x81]
299
300s_add_i32 m0, s1, s2
301// CHECK: [0x01,0x02,0x7c,0x81]
302
303s_add_i32 exec_lo, s1, s2
304// CHECK: [0x01,0x02,0x7e,0x81]
305
306s_add_i32 exec_hi, s1, s2
307// CHECK: [0x01,0x02,0x7f,0x81]
308
309s_add_i32 s5, s101, s2
310// CHECK: [0x65,0x02,0x05,0x81]
311
312s_add_i32 s5, flat_scratch_lo, s2
313// CHECK: [0x66,0x02,0x05,0x81]
314
315s_add_i32 s5, flat_scratch_hi, s2
316// CHECK: [0x67,0x02,0x05,0x81]
317
318s_add_i32 s5, vcc_lo, s2
319// CHECK: [0x6a,0x02,0x05,0x81]
320
321s_add_i32 s5, vcc_hi, s2
322// CHECK: [0x6b,0x02,0x05,0x81]
323
324s_add_i32 s5, ttmp15, s2
325// CHECK: [0x7b,0x02,0x05,0x81]
326
327s_add_i32 s5, m0, s2
328// CHECK: [0x7c,0x02,0x05,0x81]
329
330s_add_i32 s5, exec_lo, s2
331// CHECK: [0x7e,0x02,0x05,0x81]
332
333s_add_i32 s5, exec_hi, s2
334// CHECK: [0x7f,0x02,0x05,0x81]
335
336s_add_i32 s5, 0, s2
337// CHECK: [0x80,0x02,0x05,0x81]
338
339s_add_i32 s5, -1, s2
340// CHECK: [0xc1,0x02,0x05,0x81]
341
342s_add_i32 s5, 0.5, s2
343// CHECK: [0xf0,0x02,0x05,0x81]
344
345s_add_i32 s5, -4.0, s2
346// CHECK: [0xf7,0x02,0x05,0x81]
347
348s_add_i32 s5, src_vccz, s2
349// CHECK: [0xfb,0x02,0x05,0x81]
350
351s_add_i32 s5, src_execz, s2
352// CHECK: [0xfc,0x02,0x05,0x81]
353
354s_add_i32 s5, src_scc, s2
355// CHECK: [0xfd,0x02,0x05,0x81]
356
357s_add_i32 s5, 0xaf123456, s2
358// CHECK: [0xff,0x02,0x05,0x81,0x56,0x34,0x12,0xaf]
359
360s_add_i32 s5, 0x3f717273, s2
361// CHECK: [0xff,0x02,0x05,0x81,0x73,0x72,0x71,0x3f]
362
363s_add_i32 s5, s1, s101
364// CHECK: [0x01,0x65,0x05,0x81]
365
366s_add_i32 s5, s1, flat_scratch_lo
367// CHECK: [0x01,0x66,0x05,0x81]
368
369s_add_i32 s5, s1, flat_scratch_hi
370// CHECK: [0x01,0x67,0x05,0x81]
371
372s_add_i32 s5, s1, vcc_lo
373// CHECK: [0x01,0x6a,0x05,0x81]
374
375s_add_i32 s5, s1, vcc_hi
376// CHECK: [0x01,0x6b,0x05,0x81]
377
378s_add_i32 s5, s1, ttmp15
379// CHECK: [0x01,0x7b,0x05,0x81]
380
381s_add_i32 s5, s1, m0
382// CHECK: [0x01,0x7c,0x05,0x81]
383
384s_add_i32 s5, s1, exec_lo
385// CHECK: [0x01,0x7e,0x05,0x81]
386
387s_add_i32 s5, s1, exec_hi
388// CHECK: [0x01,0x7f,0x05,0x81]
389
390s_add_i32 s5, s1, 0
391// CHECK: [0x01,0x80,0x05,0x81]
392
393s_add_i32 s5, s1, -1
394// CHECK: [0x01,0xc1,0x05,0x81]
395
396s_add_i32 s5, s1, 0.5
397// CHECK: [0x01,0xf0,0x05,0x81]
398
399s_add_i32 s5, s1, -4.0
400// CHECK: [0x01,0xf7,0x05,0x81]
401
402s_add_i32 s5, s1, src_vccz
403// CHECK: [0x01,0xfb,0x05,0x81]
404
405s_add_i32 s5, s1, src_execz
406// CHECK: [0x01,0xfc,0x05,0x81]
407
408s_add_i32 s5, s1, src_scc
409// CHECK: [0x01,0xfd,0x05,0x81]
410
411s_add_i32 s5, s1, 0xaf123456
412// CHECK: [0x01,0xff,0x05,0x81,0x56,0x34,0x12,0xaf]
413
414s_add_i32 s5, s1, 0x3f717273
415// CHECK: [0x01,0xff,0x05,0x81,0x73,0x72,0x71,0x3f]
416
417s_sub_i32 s5, s1, s2
418// CHECK: [0x01,0x02,0x85,0x81]
419
420s_sub_i32 s101, s1, s2
421// CHECK: [0x01,0x02,0xe5,0x81]
422
423s_sub_i32 flat_scratch_lo, s1, s2
424// CHECK: [0x01,0x02,0xe6,0x81]
425
426s_sub_i32 flat_scratch_hi, s1, s2
427// CHECK: [0x01,0x02,0xe7,0x81]
428
429s_sub_i32 vcc_lo, s1, s2
430// CHECK: [0x01,0x02,0xea,0x81]
431
432s_sub_i32 vcc_hi, s1, s2
433// CHECK: [0x01,0x02,0xeb,0x81]
434
435s_sub_i32 ttmp15, s1, s2
436// CHECK: [0x01,0x02,0xfb,0x81]
437
438s_sub_i32 m0, s1, s2
439// CHECK: [0x01,0x02,0xfc,0x81]
440
441s_sub_i32 exec_lo, s1, s2
442// CHECK: [0x01,0x02,0xfe,0x81]
443
444s_sub_i32 exec_hi, s1, s2
445// CHECK: [0x01,0x02,0xff,0x81]
446
447s_sub_i32 s5, s101, s2
448// CHECK: [0x65,0x02,0x85,0x81]
449
450s_sub_i32 s5, flat_scratch_lo, s2
451// CHECK: [0x66,0x02,0x85,0x81]
452
453s_sub_i32 s5, flat_scratch_hi, s2
454// CHECK: [0x67,0x02,0x85,0x81]
455
456s_sub_i32 s5, vcc_lo, s2
457// CHECK: [0x6a,0x02,0x85,0x81]
458
459s_sub_i32 s5, vcc_hi, s2
460// CHECK: [0x6b,0x02,0x85,0x81]
461
462s_sub_i32 s5, ttmp15, s2
463// CHECK: [0x7b,0x02,0x85,0x81]
464
465s_sub_i32 s5, m0, s2
466// CHECK: [0x7c,0x02,0x85,0x81]
467
468s_sub_i32 s5, exec_lo, s2
469// CHECK: [0x7e,0x02,0x85,0x81]
470
471s_sub_i32 s5, exec_hi, s2
472// CHECK: [0x7f,0x02,0x85,0x81]
473
474s_sub_i32 s5, 0, s2
475// CHECK: [0x80,0x02,0x85,0x81]
476
477s_sub_i32 s5, -1, s2
478// CHECK: [0xc1,0x02,0x85,0x81]
479
480s_sub_i32 s5, 0.5, s2
481// CHECK: [0xf0,0x02,0x85,0x81]
482
483s_sub_i32 s5, -4.0, s2
484// CHECK: [0xf7,0x02,0x85,0x81]
485
486s_sub_i32 s5, src_vccz, s2
487// CHECK: [0xfb,0x02,0x85,0x81]
488
489s_sub_i32 s5, src_execz, s2
490// CHECK: [0xfc,0x02,0x85,0x81]
491
492s_sub_i32 s5, src_scc, s2
493// CHECK: [0xfd,0x02,0x85,0x81]
494
495s_sub_i32 s5, 0xaf123456, s2
496// CHECK: [0xff,0x02,0x85,0x81,0x56,0x34,0x12,0xaf]
497
498s_sub_i32 s5, 0x3f717273, s2
499// CHECK: [0xff,0x02,0x85,0x81,0x73,0x72,0x71,0x3f]
500
501s_sub_i32 s5, s1, s101
502// CHECK: [0x01,0x65,0x85,0x81]
503
504s_sub_i32 s5, s1, flat_scratch_lo
505// CHECK: [0x01,0x66,0x85,0x81]
506
507s_sub_i32 s5, s1, flat_scratch_hi
508// CHECK: [0x01,0x67,0x85,0x81]
509
510s_sub_i32 s5, s1, vcc_lo
511// CHECK: [0x01,0x6a,0x85,0x81]
512
513s_sub_i32 s5, s1, vcc_hi
514// CHECK: [0x01,0x6b,0x85,0x81]
515
516s_sub_i32 s5, s1, ttmp15
517// CHECK: [0x01,0x7b,0x85,0x81]
518
519s_sub_i32 s5, s1, m0
520// CHECK: [0x01,0x7c,0x85,0x81]
521
522s_sub_i32 s5, s1, exec_lo
523// CHECK: [0x01,0x7e,0x85,0x81]
524
525s_sub_i32 s5, s1, exec_hi
526// CHECK: [0x01,0x7f,0x85,0x81]
527
528s_sub_i32 s5, s1, 0
529// CHECK: [0x01,0x80,0x85,0x81]
530
531s_sub_i32 s5, s1, -1
532// CHECK: [0x01,0xc1,0x85,0x81]
533
534s_sub_i32 s5, s1, 0.5
535// CHECK: [0x01,0xf0,0x85,0x81]
536
537s_sub_i32 s5, s1, -4.0
538// CHECK: [0x01,0xf7,0x85,0x81]
539
540s_sub_i32 s5, s1, src_vccz
541// CHECK: [0x01,0xfb,0x85,0x81]
542
543s_sub_i32 s5, s1, src_execz
544// CHECK: [0x01,0xfc,0x85,0x81]
545
546s_sub_i32 s5, s1, src_scc
547// CHECK: [0x01,0xfd,0x85,0x81]
548
549s_sub_i32 s5, s1, 0xaf123456
550// CHECK: [0x01,0xff,0x85,0x81,0x56,0x34,0x12,0xaf]
551
552s_sub_i32 s5, s1, 0x3f717273
553// CHECK: [0x01,0xff,0x85,0x81,0x73,0x72,0x71,0x3f]
554
555s_addc_u32 s5, s1, s2
556// CHECK: [0x01,0x02,0x05,0x82]
557
558s_addc_u32 s101, s1, s2
559// CHECK: [0x01,0x02,0x65,0x82]
560
561s_addc_u32 flat_scratch_lo, s1, s2
562// CHECK: [0x01,0x02,0x66,0x82]
563
564s_addc_u32 flat_scratch_hi, s1, s2
565// CHECK: [0x01,0x02,0x67,0x82]
566
567s_addc_u32 vcc_lo, s1, s2
568// CHECK: [0x01,0x02,0x6a,0x82]
569
570s_addc_u32 vcc_hi, s1, s2
571// CHECK: [0x01,0x02,0x6b,0x82]
572
573s_addc_u32 ttmp15, s1, s2
574// CHECK: [0x01,0x02,0x7b,0x82]
575
576s_addc_u32 m0, s1, s2
577// CHECK: [0x01,0x02,0x7c,0x82]
578
579s_addc_u32 exec_lo, s1, s2
580// CHECK: [0x01,0x02,0x7e,0x82]
581
582s_addc_u32 exec_hi, s1, s2
583// CHECK: [0x01,0x02,0x7f,0x82]
584
585s_addc_u32 s5, s101, s2
586// CHECK: [0x65,0x02,0x05,0x82]
587
588s_addc_u32 s5, flat_scratch_lo, s2
589// CHECK: [0x66,0x02,0x05,0x82]
590
591s_addc_u32 s5, flat_scratch_hi, s2
592// CHECK: [0x67,0x02,0x05,0x82]
593
594s_addc_u32 s5, vcc_lo, s2
595// CHECK: [0x6a,0x02,0x05,0x82]
596
597s_addc_u32 s5, vcc_hi, s2
598// CHECK: [0x6b,0x02,0x05,0x82]
599
600s_addc_u32 s5, ttmp15, s2
601// CHECK: [0x7b,0x02,0x05,0x82]
602
603s_addc_u32 s5, m0, s2
604// CHECK: [0x7c,0x02,0x05,0x82]
605
606s_addc_u32 s5, exec_lo, s2
607// CHECK: [0x7e,0x02,0x05,0x82]
608
609s_addc_u32 s5, exec_hi, s2
610// CHECK: [0x7f,0x02,0x05,0x82]
611
612s_addc_u32 s5, 0, s2
613// CHECK: [0x80,0x02,0x05,0x82]
614
615s_addc_u32 s5, -1, s2
616// CHECK: [0xc1,0x02,0x05,0x82]
617
618s_addc_u32 s5, 0.5, s2
619// CHECK: [0xf0,0x02,0x05,0x82]
620
621s_addc_u32 s5, -4.0, s2
622// CHECK: [0xf7,0x02,0x05,0x82]
623
624s_addc_u32 s5, src_vccz, s2
625// CHECK: [0xfb,0x02,0x05,0x82]
626
627s_addc_u32 s5, src_execz, s2
628// CHECK: [0xfc,0x02,0x05,0x82]
629
630s_addc_u32 s5, src_scc, s2
631// CHECK: [0xfd,0x02,0x05,0x82]
632
633s_addc_u32 s5, 0xaf123456, s2
634// CHECK: [0xff,0x02,0x05,0x82,0x56,0x34,0x12,0xaf]
635
636s_addc_u32 s5, 0x3f717273, s2
637// CHECK: [0xff,0x02,0x05,0x82,0x73,0x72,0x71,0x3f]
638
639s_addc_u32 s5, s1, s101
640// CHECK: [0x01,0x65,0x05,0x82]
641
642s_addc_u32 s5, s1, flat_scratch_lo
643// CHECK: [0x01,0x66,0x05,0x82]
644
645s_addc_u32 s5, s1, flat_scratch_hi
646// CHECK: [0x01,0x67,0x05,0x82]
647
648s_addc_u32 s5, s1, vcc_lo
649// CHECK: [0x01,0x6a,0x05,0x82]
650
651s_addc_u32 s5, s1, vcc_hi
652// CHECK: [0x01,0x6b,0x05,0x82]
653
654s_addc_u32 s5, s1, ttmp15
655// CHECK: [0x01,0x7b,0x05,0x82]
656
657s_addc_u32 s5, s1, m0
658// CHECK: [0x01,0x7c,0x05,0x82]
659
660s_addc_u32 s5, s1, exec_lo
661// CHECK: [0x01,0x7e,0x05,0x82]
662
663s_addc_u32 s5, s1, exec_hi
664// CHECK: [0x01,0x7f,0x05,0x82]
665
666s_addc_u32 s5, s1, 0
667// CHECK: [0x01,0x80,0x05,0x82]
668
669s_addc_u32 s5, s1, -1
670// CHECK: [0x01,0xc1,0x05,0x82]
671
672s_addc_u32 s5, s1, 0.5
673// CHECK: [0x01,0xf0,0x05,0x82]
674
675s_addc_u32 s5, s1, -4.0
676// CHECK: [0x01,0xf7,0x05,0x82]
677
678s_addc_u32 s5, s1, src_vccz
679// CHECK: [0x01,0xfb,0x05,0x82]
680
681s_addc_u32 s5, s1, src_execz
682// CHECK: [0x01,0xfc,0x05,0x82]
683
684s_addc_u32 s5, s1, src_scc
685// CHECK: [0x01,0xfd,0x05,0x82]
686
687s_addc_u32 s5, s1, 0xaf123456
688// CHECK: [0x01,0xff,0x05,0x82,0x56,0x34,0x12,0xaf]
689
690s_addc_u32 s5, s1, 0x3f717273
691// CHECK: [0x01,0xff,0x05,0x82,0x73,0x72,0x71,0x3f]
692
693s_subb_u32 s5, s1, s2
694// CHECK: [0x01,0x02,0x85,0x82]
695
696s_subb_u32 s101, s1, s2
697// CHECK: [0x01,0x02,0xe5,0x82]
698
699s_subb_u32 flat_scratch_lo, s1, s2
700// CHECK: [0x01,0x02,0xe6,0x82]
701
702s_subb_u32 flat_scratch_hi, s1, s2
703// CHECK: [0x01,0x02,0xe7,0x82]
704
705s_subb_u32 vcc_lo, s1, s2
706// CHECK: [0x01,0x02,0xea,0x82]
707
708s_subb_u32 vcc_hi, s1, s2
709// CHECK: [0x01,0x02,0xeb,0x82]
710
711s_subb_u32 ttmp15, s1, s2
712// CHECK: [0x01,0x02,0xfb,0x82]
713
714s_subb_u32 m0, s1, s2
715// CHECK: [0x01,0x02,0xfc,0x82]
716
717s_subb_u32 exec_lo, s1, s2
718// CHECK: [0x01,0x02,0xfe,0x82]
719
720s_subb_u32 exec_hi, s1, s2
721// CHECK: [0x01,0x02,0xff,0x82]
722
723s_subb_u32 s5, s101, s2
724// CHECK: [0x65,0x02,0x85,0x82]
725
726s_subb_u32 s5, flat_scratch_lo, s2
727// CHECK: [0x66,0x02,0x85,0x82]
728
729s_subb_u32 s5, flat_scratch_hi, s2
730// CHECK: [0x67,0x02,0x85,0x82]
731
732s_subb_u32 s5, vcc_lo, s2
733// CHECK: [0x6a,0x02,0x85,0x82]
734
735s_subb_u32 s5, vcc_hi, s2
736// CHECK: [0x6b,0x02,0x85,0x82]
737
738s_subb_u32 s5, ttmp15, s2
739// CHECK: [0x7b,0x02,0x85,0x82]
740
741s_subb_u32 s5, m0, s2
742// CHECK: [0x7c,0x02,0x85,0x82]
743
744s_subb_u32 s5, exec_lo, s2
745// CHECK: [0x7e,0x02,0x85,0x82]
746
747s_subb_u32 s5, exec_hi, s2
748// CHECK: [0x7f,0x02,0x85,0x82]
749
750s_subb_u32 s5, 0, s2
751// CHECK: [0x80,0x02,0x85,0x82]
752
753s_subb_u32 s5, -1, s2
754// CHECK: [0xc1,0x02,0x85,0x82]
755
756s_subb_u32 s5, 0.5, s2
757// CHECK: [0xf0,0x02,0x85,0x82]
758
759s_subb_u32 s5, -4.0, s2
760// CHECK: [0xf7,0x02,0x85,0x82]
761
762s_subb_u32 s5, src_vccz, s2
763// CHECK: [0xfb,0x02,0x85,0x82]
764
765s_subb_u32 s5, src_execz, s2
766// CHECK: [0xfc,0x02,0x85,0x82]
767
768s_subb_u32 s5, src_scc, s2
769// CHECK: [0xfd,0x02,0x85,0x82]
770
771s_subb_u32 s5, 0xaf123456, s2
772// CHECK: [0xff,0x02,0x85,0x82,0x56,0x34,0x12,0xaf]
773
774s_subb_u32 s5, 0x3f717273, s2
775// CHECK: [0xff,0x02,0x85,0x82,0x73,0x72,0x71,0x3f]
776
777s_subb_u32 s5, s1, s101
778// CHECK: [0x01,0x65,0x85,0x82]
779
780s_subb_u32 s5, s1, flat_scratch_lo
781// CHECK: [0x01,0x66,0x85,0x82]
782
783s_subb_u32 s5, s1, flat_scratch_hi
784// CHECK: [0x01,0x67,0x85,0x82]
785
786s_subb_u32 s5, s1, vcc_lo
787// CHECK: [0x01,0x6a,0x85,0x82]
788
789s_subb_u32 s5, s1, vcc_hi
790// CHECK: [0x01,0x6b,0x85,0x82]
791
792s_subb_u32 s5, s1, ttmp15
793// CHECK: [0x01,0x7b,0x85,0x82]
794
795s_subb_u32 s5, s1, m0
796// CHECK: [0x01,0x7c,0x85,0x82]
797
798s_subb_u32 s5, s1, exec_lo
799// CHECK: [0x01,0x7e,0x85,0x82]
800
801s_subb_u32 s5, s1, exec_hi
802// CHECK: [0x01,0x7f,0x85,0x82]
803
804s_subb_u32 s5, s1, 0
805// CHECK: [0x01,0x80,0x85,0x82]
806
807s_subb_u32 s5, s1, -1
808// CHECK: [0x01,0xc1,0x85,0x82]
809
810s_subb_u32 s5, s1, 0.5
811// CHECK: [0x01,0xf0,0x85,0x82]
812
813s_subb_u32 s5, s1, -4.0
814// CHECK: [0x01,0xf7,0x85,0x82]
815
816s_subb_u32 s5, s1, src_vccz
817// CHECK: [0x01,0xfb,0x85,0x82]
818
819s_subb_u32 s5, s1, src_execz
820// CHECK: [0x01,0xfc,0x85,0x82]
821
822s_subb_u32 s5, s1, src_scc
823// CHECK: [0x01,0xfd,0x85,0x82]
824
825s_subb_u32 s5, s1, 0xaf123456
826// CHECK: [0x01,0xff,0x85,0x82,0x56,0x34,0x12,0xaf]
827
828s_subb_u32 s5, s1, 0x3f717273
829// CHECK: [0x01,0xff,0x85,0x82,0x73,0x72,0x71,0x3f]
830
831s_min_i32 s5, s1, s2
832// CHECK: [0x01,0x02,0x05,0x83]
833
834s_min_i32 s101, s1, s2
835// CHECK: [0x01,0x02,0x65,0x83]
836
837s_min_i32 flat_scratch_lo, s1, s2
838// CHECK: [0x01,0x02,0x66,0x83]
839
840s_min_i32 flat_scratch_hi, s1, s2
841// CHECK: [0x01,0x02,0x67,0x83]
842
843s_min_i32 vcc_lo, s1, s2
844// CHECK: [0x01,0x02,0x6a,0x83]
845
846s_min_i32 vcc_hi, s1, s2
847// CHECK: [0x01,0x02,0x6b,0x83]
848
849s_min_i32 ttmp15, s1, s2
850// CHECK: [0x01,0x02,0x7b,0x83]
851
852s_min_i32 m0, s1, s2
853// CHECK: [0x01,0x02,0x7c,0x83]
854
855s_min_i32 exec_lo, s1, s2
856// CHECK: [0x01,0x02,0x7e,0x83]
857
858s_min_i32 exec_hi, s1, s2
859// CHECK: [0x01,0x02,0x7f,0x83]
860
861s_min_i32 s5, s101, s2
862// CHECK: [0x65,0x02,0x05,0x83]
863
864s_min_i32 s5, flat_scratch_lo, s2
865// CHECK: [0x66,0x02,0x05,0x83]
866
867s_min_i32 s5, flat_scratch_hi, s2
868// CHECK: [0x67,0x02,0x05,0x83]
869
870s_min_i32 s5, vcc_lo, s2
871// CHECK: [0x6a,0x02,0x05,0x83]
872
873s_min_i32 s5, vcc_hi, s2
874// CHECK: [0x6b,0x02,0x05,0x83]
875
876s_min_i32 s5, ttmp15, s2
877// CHECK: [0x7b,0x02,0x05,0x83]
878
879s_min_i32 s5, m0, s2
880// CHECK: [0x7c,0x02,0x05,0x83]
881
882s_min_i32 s5, exec_lo, s2
883// CHECK: [0x7e,0x02,0x05,0x83]
884
885s_min_i32 s5, exec_hi, s2
886// CHECK: [0x7f,0x02,0x05,0x83]
887
888s_min_i32 s5, 0, s2
889// CHECK: [0x80,0x02,0x05,0x83]
890
891s_min_i32 s5, -1, s2
892// CHECK: [0xc1,0x02,0x05,0x83]
893
894s_min_i32 s5, 0.5, s2
895// CHECK: [0xf0,0x02,0x05,0x83]
896
897s_min_i32 s5, -4.0, s2
898// CHECK: [0xf7,0x02,0x05,0x83]
899
900s_min_i32 s5, src_vccz, s2
901// CHECK: [0xfb,0x02,0x05,0x83]
902
903s_min_i32 s5, src_execz, s2
904// CHECK: [0xfc,0x02,0x05,0x83]
905
906s_min_i32 s5, src_scc, s2
907// CHECK: [0xfd,0x02,0x05,0x83]
908
909s_min_i32 s5, 0xaf123456, s2
910// CHECK: [0xff,0x02,0x05,0x83,0x56,0x34,0x12,0xaf]
911
912s_min_i32 s5, 0x3f717273, s2
913// CHECK: [0xff,0x02,0x05,0x83,0x73,0x72,0x71,0x3f]
914
915s_min_i32 s5, s1, s101
916// CHECK: [0x01,0x65,0x05,0x83]
917
918s_min_i32 s5, s1, flat_scratch_lo
919// CHECK: [0x01,0x66,0x05,0x83]
920
921s_min_i32 s5, s1, flat_scratch_hi
922// CHECK: [0x01,0x67,0x05,0x83]
923
924s_min_i32 s5, s1, vcc_lo
925// CHECK: [0x01,0x6a,0x05,0x83]
926
927s_min_i32 s5, s1, vcc_hi
928// CHECK: [0x01,0x6b,0x05,0x83]
929
930s_min_i32 s5, s1, ttmp15
931// CHECK: [0x01,0x7b,0x05,0x83]
932
933s_min_i32 s5, s1, m0
934// CHECK: [0x01,0x7c,0x05,0x83]
935
936s_min_i32 s5, s1, exec_lo
937// CHECK: [0x01,0x7e,0x05,0x83]
938
939s_min_i32 s5, s1, exec_hi
940// CHECK: [0x01,0x7f,0x05,0x83]
941
942s_min_i32 s5, s1, 0
943// CHECK: [0x01,0x80,0x05,0x83]
944
945s_min_i32 s5, s1, -1
946// CHECK: [0x01,0xc1,0x05,0x83]
947
948s_min_i32 s5, s1, 0.5
949// CHECK: [0x01,0xf0,0x05,0x83]
950
951s_min_i32 s5, s1, -4.0
952// CHECK: [0x01,0xf7,0x05,0x83]
953
954s_min_i32 s5, s1, src_vccz
955// CHECK: [0x01,0xfb,0x05,0x83]
956
957s_min_i32 s5, s1, src_execz
958// CHECK: [0x01,0xfc,0x05,0x83]
959
960s_min_i32 s5, s1, src_scc
961// CHECK: [0x01,0xfd,0x05,0x83]
962
963s_min_i32 s5, s1, 0xaf123456
964// CHECK: [0x01,0xff,0x05,0x83,0x56,0x34,0x12,0xaf]
965
966s_min_i32 s5, s1, 0x3f717273
967// CHECK: [0x01,0xff,0x05,0x83,0x73,0x72,0x71,0x3f]
968
969s_min_u32 s5, s1, s2
970// CHECK: [0x01,0x02,0x85,0x83]
971
972s_min_u32 s101, s1, s2
973// CHECK: [0x01,0x02,0xe5,0x83]
974
975s_min_u32 flat_scratch_lo, s1, s2
976// CHECK: [0x01,0x02,0xe6,0x83]
977
978s_min_u32 flat_scratch_hi, s1, s2
979// CHECK: [0x01,0x02,0xe7,0x83]
980
981s_min_u32 vcc_lo, s1, s2
982// CHECK: [0x01,0x02,0xea,0x83]
983
984s_min_u32 vcc_hi, s1, s2
985// CHECK: [0x01,0x02,0xeb,0x83]
986
987s_min_u32 ttmp15, s1, s2
988// CHECK: [0x01,0x02,0xfb,0x83]
989
990s_min_u32 m0, s1, s2
991// CHECK: [0x01,0x02,0xfc,0x83]
992
993s_min_u32 exec_lo, s1, s2
994// CHECK: [0x01,0x02,0xfe,0x83]
995
996s_min_u32 exec_hi, s1, s2
997// CHECK: [0x01,0x02,0xff,0x83]
998
999s_min_u32 s5, s101, s2
1000// CHECK: [0x65,0x02,0x85,0x83]
1001
1002s_min_u32 s5, flat_scratch_lo, s2
1003// CHECK: [0x66,0x02,0x85,0x83]
1004
1005s_min_u32 s5, flat_scratch_hi, s2
1006// CHECK: [0x67,0x02,0x85,0x83]
1007
1008s_min_u32 s5, vcc_lo, s2
1009// CHECK: [0x6a,0x02,0x85,0x83]
1010
1011s_min_u32 s5, vcc_hi, s2
1012// CHECK: [0x6b,0x02,0x85,0x83]
1013
1014s_min_u32 s5, ttmp15, s2
1015// CHECK: [0x7b,0x02,0x85,0x83]
1016
1017s_min_u32 s5, m0, s2
1018// CHECK: [0x7c,0x02,0x85,0x83]
1019
1020s_min_u32 s5, exec_lo, s2
1021// CHECK: [0x7e,0x02,0x85,0x83]
1022
1023s_min_u32 s5, exec_hi, s2
1024// CHECK: [0x7f,0x02,0x85,0x83]
1025
1026s_min_u32 s5, 0, s2
1027// CHECK: [0x80,0x02,0x85,0x83]
1028
1029s_min_u32 s5, -1, s2
1030// CHECK: [0xc1,0x02,0x85,0x83]
1031
1032s_min_u32 s5, 0.5, s2
1033// CHECK: [0xf0,0x02,0x85,0x83]
1034
1035s_min_u32 s5, -4.0, s2
1036// CHECK: [0xf7,0x02,0x85,0x83]
1037
1038s_min_u32 s5, src_vccz, s2
1039// CHECK: [0xfb,0x02,0x85,0x83]
1040
1041s_min_u32 s5, src_execz, s2
1042// CHECK: [0xfc,0x02,0x85,0x83]
1043
1044s_min_u32 s5, src_scc, s2
1045// CHECK: [0xfd,0x02,0x85,0x83]
1046
1047s_min_u32 s5, 0xaf123456, s2
1048// CHECK: [0xff,0x02,0x85,0x83,0x56,0x34,0x12,0xaf]
1049
1050s_min_u32 s5, 0x3f717273, s2
1051// CHECK: [0xff,0x02,0x85,0x83,0x73,0x72,0x71,0x3f]
1052
1053s_min_u32 s5, s1, s101
1054// CHECK: [0x01,0x65,0x85,0x83]
1055
1056s_min_u32 s5, s1, flat_scratch_lo
1057// CHECK: [0x01,0x66,0x85,0x83]
1058
1059s_min_u32 s5, s1, flat_scratch_hi
1060// CHECK: [0x01,0x67,0x85,0x83]
1061
1062s_min_u32 s5, s1, vcc_lo
1063// CHECK: [0x01,0x6a,0x85,0x83]
1064
1065s_min_u32 s5, s1, vcc_hi
1066// CHECK: [0x01,0x6b,0x85,0x83]
1067
1068s_min_u32 s5, s1, ttmp15
1069// CHECK: [0x01,0x7b,0x85,0x83]
1070
1071s_min_u32 s5, s1, m0
1072// CHECK: [0x01,0x7c,0x85,0x83]
1073
1074s_min_u32 s5, s1, exec_lo
1075// CHECK: [0x01,0x7e,0x85,0x83]
1076
1077s_min_u32 s5, s1, exec_hi
1078// CHECK: [0x01,0x7f,0x85,0x83]
1079
1080s_min_u32 s5, s1, 0
1081// CHECK: [0x01,0x80,0x85,0x83]
1082
1083s_min_u32 s5, s1, -1
1084// CHECK: [0x01,0xc1,0x85,0x83]
1085
1086s_min_u32 s5, s1, 0.5
1087// CHECK: [0x01,0xf0,0x85,0x83]
1088
1089s_min_u32 s5, s1, -4.0
1090// CHECK: [0x01,0xf7,0x85,0x83]
1091
1092s_min_u32 s5, s1, src_vccz
1093// CHECK: [0x01,0xfb,0x85,0x83]
1094
1095s_min_u32 s5, s1, src_execz
1096// CHECK: [0x01,0xfc,0x85,0x83]
1097
1098s_min_u32 s5, s1, src_scc
1099// CHECK: [0x01,0xfd,0x85,0x83]
1100
1101s_min_u32 s5, s1, 0xaf123456
1102// CHECK: [0x01,0xff,0x85,0x83,0x56,0x34,0x12,0xaf]
1103
1104s_min_u32 s5, s1, 0x3f717273
1105// CHECK: [0x01,0xff,0x85,0x83,0x73,0x72,0x71,0x3f]
1106
1107s_max_i32 s5, s1, s2
1108// CHECK: [0x01,0x02,0x05,0x84]
1109
1110s_max_i32 s101, s1, s2
1111// CHECK: [0x01,0x02,0x65,0x84]
1112
1113s_max_i32 flat_scratch_lo, s1, s2
1114// CHECK: [0x01,0x02,0x66,0x84]
1115
1116s_max_i32 flat_scratch_hi, s1, s2
1117// CHECK: [0x01,0x02,0x67,0x84]
1118
1119s_max_i32 vcc_lo, s1, s2
1120// CHECK: [0x01,0x02,0x6a,0x84]
1121
1122s_max_i32 vcc_hi, s1, s2
1123// CHECK: [0x01,0x02,0x6b,0x84]
1124
1125s_max_i32 ttmp15, s1, s2
1126// CHECK: [0x01,0x02,0x7b,0x84]
1127
1128s_max_i32 m0, s1, s2
1129// CHECK: [0x01,0x02,0x7c,0x84]
1130
1131s_max_i32 exec_lo, s1, s2
1132// CHECK: [0x01,0x02,0x7e,0x84]
1133
1134s_max_i32 exec_hi, s1, s2
1135// CHECK: [0x01,0x02,0x7f,0x84]
1136
1137s_max_i32 s5, s101, s2
1138// CHECK: [0x65,0x02,0x05,0x84]
1139
1140s_max_i32 s5, flat_scratch_lo, s2
1141// CHECK: [0x66,0x02,0x05,0x84]
1142
1143s_max_i32 s5, flat_scratch_hi, s2
1144// CHECK: [0x67,0x02,0x05,0x84]
1145
1146s_max_i32 s5, vcc_lo, s2
1147// CHECK: [0x6a,0x02,0x05,0x84]
1148
1149s_max_i32 s5, vcc_hi, s2
1150// CHECK: [0x6b,0x02,0x05,0x84]
1151
1152s_max_i32 s5, ttmp15, s2
1153// CHECK: [0x7b,0x02,0x05,0x84]
1154
1155s_max_i32 s5, m0, s2
1156// CHECK: [0x7c,0x02,0x05,0x84]
1157
1158s_max_i32 s5, exec_lo, s2
1159// CHECK: [0x7e,0x02,0x05,0x84]
1160
1161s_max_i32 s5, exec_hi, s2
1162// CHECK: [0x7f,0x02,0x05,0x84]
1163
1164s_max_i32 s5, 0, s2
1165// CHECK: [0x80,0x02,0x05,0x84]
1166
1167s_max_i32 s5, -1, s2
1168// CHECK: [0xc1,0x02,0x05,0x84]
1169
1170s_max_i32 s5, 0.5, s2
1171// CHECK: [0xf0,0x02,0x05,0x84]
1172
1173s_max_i32 s5, -4.0, s2
1174// CHECK: [0xf7,0x02,0x05,0x84]
1175
1176s_max_i32 s5, src_vccz, s2
1177// CHECK: [0xfb,0x02,0x05,0x84]
1178
1179s_max_i32 s5, src_execz, s2
1180// CHECK: [0xfc,0x02,0x05,0x84]
1181
1182s_max_i32 s5, src_scc, s2
1183// CHECK: [0xfd,0x02,0x05,0x84]
1184
1185s_max_i32 s5, 0xaf123456, s2
1186// CHECK: [0xff,0x02,0x05,0x84,0x56,0x34,0x12,0xaf]
1187
1188s_max_i32 s5, 0x3f717273, s2
1189// CHECK: [0xff,0x02,0x05,0x84,0x73,0x72,0x71,0x3f]
1190
1191s_max_i32 s5, s1, s101
1192// CHECK: [0x01,0x65,0x05,0x84]
1193
1194s_max_i32 s5, s1, flat_scratch_lo
1195// CHECK: [0x01,0x66,0x05,0x84]
1196
1197s_max_i32 s5, s1, flat_scratch_hi
1198// CHECK: [0x01,0x67,0x05,0x84]
1199
1200s_max_i32 s5, s1, vcc_lo
1201// CHECK: [0x01,0x6a,0x05,0x84]
1202
1203s_max_i32 s5, s1, vcc_hi
1204// CHECK: [0x01,0x6b,0x05,0x84]
1205
1206s_max_i32 s5, s1, ttmp15
1207// CHECK: [0x01,0x7b,0x05,0x84]
1208
1209s_max_i32 s5, s1, m0
1210// CHECK: [0x01,0x7c,0x05,0x84]
1211
1212s_max_i32 s5, s1, exec_lo
1213// CHECK: [0x01,0x7e,0x05,0x84]
1214
1215s_max_i32 s5, s1, exec_hi
1216// CHECK: [0x01,0x7f,0x05,0x84]
1217
1218s_max_i32 s5, s1, 0
1219// CHECK: [0x01,0x80,0x05,0x84]
1220
1221s_max_i32 s5, s1, -1
1222// CHECK: [0x01,0xc1,0x05,0x84]
1223
1224s_max_i32 s5, s1, 0.5
1225// CHECK: [0x01,0xf0,0x05,0x84]
1226
1227s_max_i32 s5, s1, -4.0
1228// CHECK: [0x01,0xf7,0x05,0x84]
1229
1230s_max_i32 s5, s1, src_vccz
1231// CHECK: [0x01,0xfb,0x05,0x84]
1232
1233s_max_i32 s5, s1, src_execz
1234// CHECK: [0x01,0xfc,0x05,0x84]
1235
1236s_max_i32 s5, s1, src_scc
1237// CHECK: [0x01,0xfd,0x05,0x84]
1238
1239s_max_i32 s5, s1, 0xaf123456
1240// CHECK: [0x01,0xff,0x05,0x84,0x56,0x34,0x12,0xaf]
1241
1242s_max_i32 s5, s1, 0x3f717273
1243// CHECK: [0x01,0xff,0x05,0x84,0x73,0x72,0x71,0x3f]
1244
1245s_max_u32 s5, s1, s2
1246// CHECK: [0x01,0x02,0x85,0x84]
1247
1248s_max_u32 s101, s1, s2
1249// CHECK: [0x01,0x02,0xe5,0x84]
1250
1251s_max_u32 flat_scratch_lo, s1, s2
1252// CHECK: [0x01,0x02,0xe6,0x84]
1253
1254s_max_u32 flat_scratch_hi, s1, s2
1255// CHECK: [0x01,0x02,0xe7,0x84]
1256
1257s_max_u32 vcc_lo, s1, s2
1258// CHECK: [0x01,0x02,0xea,0x84]
1259
1260s_max_u32 vcc_hi, s1, s2
1261// CHECK: [0x01,0x02,0xeb,0x84]
1262
1263s_max_u32 ttmp15, s1, s2
1264// CHECK: [0x01,0x02,0xfb,0x84]
1265
1266s_max_u32 m0, s1, s2
1267// CHECK: [0x01,0x02,0xfc,0x84]
1268
1269s_max_u32 exec_lo, s1, s2
1270// CHECK: [0x01,0x02,0xfe,0x84]
1271
1272s_max_u32 exec_hi, s1, s2
1273// CHECK: [0x01,0x02,0xff,0x84]
1274
1275s_max_u32 s5, s101, s2
1276// CHECK: [0x65,0x02,0x85,0x84]
1277
1278s_max_u32 s5, flat_scratch_lo, s2
1279// CHECK: [0x66,0x02,0x85,0x84]
1280
1281s_max_u32 s5, flat_scratch_hi, s2
1282// CHECK: [0x67,0x02,0x85,0x84]
1283
1284s_max_u32 s5, vcc_lo, s2
1285// CHECK: [0x6a,0x02,0x85,0x84]
1286
1287s_max_u32 s5, vcc_hi, s2
1288// CHECK: [0x6b,0x02,0x85,0x84]
1289
1290s_max_u32 s5, ttmp15, s2
1291// CHECK: [0x7b,0x02,0x85,0x84]
1292
1293s_max_u32 s5, m0, s2
1294// CHECK: [0x7c,0x02,0x85,0x84]
1295
1296s_max_u32 s5, exec_lo, s2
1297// CHECK: [0x7e,0x02,0x85,0x84]
1298
1299s_max_u32 s5, exec_hi, s2
1300// CHECK: [0x7f,0x02,0x85,0x84]
1301
1302s_max_u32 s5, 0, s2
1303// CHECK: [0x80,0x02,0x85,0x84]
1304
1305s_max_u32 s5, -1, s2
1306// CHECK: [0xc1,0x02,0x85,0x84]
1307
1308s_max_u32 s5, 0.5, s2
1309// CHECK: [0xf0,0x02,0x85,0x84]
1310
1311s_max_u32 s5, -4.0, s2
1312// CHECK: [0xf7,0x02,0x85,0x84]
1313
1314s_max_u32 s5, src_vccz, s2
1315// CHECK: [0xfb,0x02,0x85,0x84]
1316
1317s_max_u32 s5, src_execz, s2
1318// CHECK: [0xfc,0x02,0x85,0x84]
1319
1320s_max_u32 s5, src_scc, s2
1321// CHECK: [0xfd,0x02,0x85,0x84]
1322
1323s_max_u32 s5, 0xaf123456, s2
1324// CHECK: [0xff,0x02,0x85,0x84,0x56,0x34,0x12,0xaf]
1325
1326s_max_u32 s5, 0x3f717273, s2
1327// CHECK: [0xff,0x02,0x85,0x84,0x73,0x72,0x71,0x3f]
1328
1329s_max_u32 s5, s1, s101
1330// CHECK: [0x01,0x65,0x85,0x84]
1331
1332s_max_u32 s5, s1, flat_scratch_lo
1333// CHECK: [0x01,0x66,0x85,0x84]
1334
1335s_max_u32 s5, s1, flat_scratch_hi
1336// CHECK: [0x01,0x67,0x85,0x84]
1337
1338s_max_u32 s5, s1, vcc_lo
1339// CHECK: [0x01,0x6a,0x85,0x84]
1340
1341s_max_u32 s5, s1, vcc_hi
1342// CHECK: [0x01,0x6b,0x85,0x84]
1343
1344s_max_u32 s5, s1, ttmp15
1345// CHECK: [0x01,0x7b,0x85,0x84]
1346
1347s_max_u32 s5, s1, m0
1348// CHECK: [0x01,0x7c,0x85,0x84]
1349
1350s_max_u32 s5, s1, exec_lo
1351// CHECK: [0x01,0x7e,0x85,0x84]
1352
1353s_max_u32 s5, s1, exec_hi
1354// CHECK: [0x01,0x7f,0x85,0x84]
1355
1356s_max_u32 s5, s1, 0
1357// CHECK: [0x01,0x80,0x85,0x84]
1358
1359s_max_u32 s5, s1, -1
1360// CHECK: [0x01,0xc1,0x85,0x84]
1361
1362s_max_u32 s5, s1, 0.5
1363// CHECK: [0x01,0xf0,0x85,0x84]
1364
1365s_max_u32 s5, s1, -4.0
1366// CHECK: [0x01,0xf7,0x85,0x84]
1367
1368s_max_u32 s5, s1, src_vccz
1369// CHECK: [0x01,0xfb,0x85,0x84]
1370
1371s_max_u32 s5, s1, src_execz
1372// CHECK: [0x01,0xfc,0x85,0x84]
1373
1374s_max_u32 s5, s1, src_scc
1375// CHECK: [0x01,0xfd,0x85,0x84]
1376
1377s_max_u32 s5, s1, 0xaf123456
1378// CHECK: [0x01,0xff,0x85,0x84,0x56,0x34,0x12,0xaf]
1379
1380s_max_u32 s5, s1, 0x3f717273
1381// CHECK: [0x01,0xff,0x85,0x84,0x73,0x72,0x71,0x3f]
1382
1383s_cselect_b32 s5, s1, s2
1384// CHECK: [0x01,0x02,0x05,0x85]
1385
1386s_cselect_b32 s101, s1, s2
1387// CHECK: [0x01,0x02,0x65,0x85]
1388
1389s_cselect_b32 flat_scratch_lo, s1, s2
1390// CHECK: [0x01,0x02,0x66,0x85]
1391
1392s_cselect_b32 flat_scratch_hi, s1, s2
1393// CHECK: [0x01,0x02,0x67,0x85]
1394
1395s_cselect_b32 vcc_lo, s1, s2
1396// CHECK: [0x01,0x02,0x6a,0x85]
1397
1398s_cselect_b32 vcc_hi, s1, s2
1399// CHECK: [0x01,0x02,0x6b,0x85]
1400
1401s_cselect_b32 ttmp15, s1, s2
1402// CHECK: [0x01,0x02,0x7b,0x85]
1403
1404s_cselect_b32 m0, s1, s2
1405// CHECK: [0x01,0x02,0x7c,0x85]
1406
1407s_cselect_b32 exec_lo, s1, s2
1408// CHECK: [0x01,0x02,0x7e,0x85]
1409
1410s_cselect_b32 exec_hi, s1, s2
1411// CHECK: [0x01,0x02,0x7f,0x85]
1412
1413s_cselect_b32 s5, s101, s2
1414// CHECK: [0x65,0x02,0x05,0x85]
1415
1416s_cselect_b32 s5, flat_scratch_lo, s2
1417// CHECK: [0x66,0x02,0x05,0x85]
1418
1419s_cselect_b32 s5, flat_scratch_hi, s2
1420// CHECK: [0x67,0x02,0x05,0x85]
1421
1422s_cselect_b32 s5, vcc_lo, s2
1423// CHECK: [0x6a,0x02,0x05,0x85]
1424
1425s_cselect_b32 s5, vcc_hi, s2
1426// CHECK: [0x6b,0x02,0x05,0x85]
1427
1428s_cselect_b32 s5, ttmp15, s2
1429// CHECK: [0x7b,0x02,0x05,0x85]
1430
1431s_cselect_b32 s5, m0, s2
1432// CHECK: [0x7c,0x02,0x05,0x85]
1433
1434s_cselect_b32 s5, exec_lo, s2
1435// CHECK: [0x7e,0x02,0x05,0x85]
1436
1437s_cselect_b32 s5, exec_hi, s2
1438// CHECK: [0x7f,0x02,0x05,0x85]
1439
1440s_cselect_b32 s5, 0, s2
1441// CHECK: [0x80,0x02,0x05,0x85]
1442
1443s_cselect_b32 s5, -1, s2
1444// CHECK: [0xc1,0x02,0x05,0x85]
1445
1446s_cselect_b32 s5, 0.5, s2
1447// CHECK: [0xf0,0x02,0x05,0x85]
1448
1449s_cselect_b32 s5, -4.0, s2
1450// CHECK: [0xf7,0x02,0x05,0x85]
1451
1452s_cselect_b32 s5, src_vccz, s2
1453// CHECK: [0xfb,0x02,0x05,0x85]
1454
1455s_cselect_b32 s5, src_execz, s2
1456// CHECK: [0xfc,0x02,0x05,0x85]
1457
1458s_cselect_b32 s5, src_scc, s2
1459// CHECK: [0xfd,0x02,0x05,0x85]
1460
1461s_cselect_b32 s5, 0xaf123456, s2
1462// CHECK: [0xff,0x02,0x05,0x85,0x56,0x34,0x12,0xaf]
1463
1464s_cselect_b32 s5, 0x3f717273, s2
1465// CHECK: [0xff,0x02,0x05,0x85,0x73,0x72,0x71,0x3f]
1466
1467s_cselect_b32 s5, s1, s101
1468// CHECK: [0x01,0x65,0x05,0x85]
1469
1470s_cselect_b32 s5, s1, flat_scratch_lo
1471// CHECK: [0x01,0x66,0x05,0x85]
1472
1473s_cselect_b32 s5, s1, flat_scratch_hi
1474// CHECK: [0x01,0x67,0x05,0x85]
1475
1476s_cselect_b32 s5, s1, vcc_lo
1477// CHECK: [0x01,0x6a,0x05,0x85]
1478
1479s_cselect_b32 s5, s1, vcc_hi
1480// CHECK: [0x01,0x6b,0x05,0x85]
1481
1482s_cselect_b32 s5, s1, ttmp15
1483// CHECK: [0x01,0x7b,0x05,0x85]
1484
1485s_cselect_b32 s5, s1, m0
1486// CHECK: [0x01,0x7c,0x05,0x85]
1487
1488s_cselect_b32 s5, s1, exec_lo
1489// CHECK: [0x01,0x7e,0x05,0x85]
1490
1491s_cselect_b32 s5, s1, exec_hi
1492// CHECK: [0x01,0x7f,0x05,0x85]
1493
1494s_cselect_b32 s5, s1, 0
1495// CHECK: [0x01,0x80,0x05,0x85]
1496
1497s_cselect_b32 s5, s1, -1
1498// CHECK: [0x01,0xc1,0x05,0x85]
1499
1500s_cselect_b32 s5, s1, 0.5
1501// CHECK: [0x01,0xf0,0x05,0x85]
1502
1503s_cselect_b32 s5, s1, -4.0
1504// CHECK: [0x01,0xf7,0x05,0x85]
1505
1506s_cselect_b32 s5, s1, src_vccz
1507// CHECK: [0x01,0xfb,0x05,0x85]
1508
1509s_cselect_b32 s5, s1, src_execz
1510// CHECK: [0x01,0xfc,0x05,0x85]
1511
1512s_cselect_b32 s5, s1, src_scc
1513// CHECK: [0x01,0xfd,0x05,0x85]
1514
1515s_cselect_b32 s5, s1, 0xaf123456
1516// CHECK: [0x01,0xff,0x05,0x85,0x56,0x34,0x12,0xaf]
1517
1518s_cselect_b32 s5, s1, 0x3f717273
1519// CHECK: [0x01,0xff,0x05,0x85,0x73,0x72,0x71,0x3f]
1520
1521s_cselect_b64 s[10:11], s[2:3], s[4:5]
1522// CHECK: [0x02,0x04,0x8a,0x85]
1523
1524s_cselect_b64 s[12:13], s[2:3], s[4:5]
1525// CHECK: [0x02,0x04,0x8c,0x85]
1526
1527s_cselect_b64 s[100:101], s[2:3], s[4:5]
1528// CHECK: [0x02,0x04,0xe4,0x85]
1529
1530s_cselect_b64 flat_scratch, s[2:3], s[4:5]
1531// CHECK: [0x02,0x04,0xe6,0x85]
1532
1533s_cselect_b64 vcc, s[2:3], s[4:5]
1534// CHECK: [0x02,0x04,0xea,0x85]
1535
1536s_cselect_b64 ttmp[14:15], s[2:3], s[4:5]
1537// CHECK: [0x02,0x04,0xfa,0x85]
1538
1539s_cselect_b64 exec, s[2:3], s[4:5]
1540// CHECK: [0x02,0x04,0xfe,0x85]
1541
1542s_cselect_b64 s[10:11], s[4:5], s[4:5]
1543// CHECK: [0x04,0x04,0x8a,0x85]
1544
1545s_cselect_b64 s[10:11], s[100:101], s[4:5]
1546// CHECK: [0x64,0x04,0x8a,0x85]
1547
1548s_cselect_b64 s[10:11], flat_scratch, s[4:5]
1549// CHECK: [0x66,0x04,0x8a,0x85]
1550
1551s_cselect_b64 s[10:11], vcc, s[4:5]
1552// CHECK: [0x6a,0x04,0x8a,0x85]
1553
1554s_cselect_b64 s[10:11], ttmp[14:15], s[4:5]
1555// CHECK: [0x7a,0x04,0x8a,0x85]
1556
1557s_cselect_b64 s[10:11], exec, s[4:5]
1558// CHECK: [0x7e,0x04,0x8a,0x85]
1559
1560s_cselect_b64 s[10:11], 0, s[4:5]
1561// CHECK: [0x80,0x04,0x8a,0x85]
1562
1563s_cselect_b64 s[10:11], -1, s[4:5]
1564// CHECK: [0xc1,0x04,0x8a,0x85]
1565
1566s_cselect_b64 s[10:11], 0.5, s[4:5]
1567// CHECK: [0xf0,0x04,0x8a,0x85]
1568
1569s_cselect_b64 s[10:11], -4.0, s[4:5]
1570// CHECK: [0xf7,0x04,0x8a,0x85]
1571
1572s_cselect_b64 s[10:11], src_vccz, s[4:5]
1573// CHECK: [0xfb,0x04,0x8a,0x85]
1574
1575s_cselect_b64 s[10:11], src_execz, s[4:5]
1576// CHECK: [0xfc,0x04,0x8a,0x85]
1577
1578s_cselect_b64 s[10:11], src_scc, s[4:5]
1579// CHECK: [0xfd,0x04,0x8a,0x85]
1580
1581s_cselect_b64 s[10:11], 0xaf123456, s[4:5]
1582// CHECK: [0xff,0x04,0x8a,0x85,0x56,0x34,0x12,0xaf]
1583
1584s_cselect_b64 s[10:11], 0x3f717273, s[4:5]
1585// CHECK: [0xff,0x04,0x8a,0x85,0x73,0x72,0x71,0x3f]
1586
1587s_cselect_b64 s[10:11], s[2:3], s[6:7]
1588// CHECK: [0x02,0x06,0x8a,0x85]
1589
1590s_cselect_b64 s[10:11], s[2:3], s[100:101]
1591// CHECK: [0x02,0x64,0x8a,0x85]
1592
1593s_cselect_b64 s[10:11], s[2:3], flat_scratch
1594// CHECK: [0x02,0x66,0x8a,0x85]
1595
1596s_cselect_b64 s[10:11], s[2:3], vcc
1597// CHECK: [0x02,0x6a,0x8a,0x85]
1598
1599s_cselect_b64 s[10:11], s[2:3], ttmp[14:15]
1600// CHECK: [0x02,0x7a,0x8a,0x85]
1601
1602s_cselect_b64 s[10:11], s[2:3], exec
1603// CHECK: [0x02,0x7e,0x8a,0x85]
1604
1605s_cselect_b64 s[10:11], s[2:3], 0
1606// CHECK: [0x02,0x80,0x8a,0x85]
1607
1608s_cselect_b64 s[10:11], s[2:3], -1
1609// CHECK: [0x02,0xc1,0x8a,0x85]
1610
1611s_cselect_b64 s[10:11], s[2:3], 0.5
1612// CHECK: [0x02,0xf0,0x8a,0x85]
1613
1614s_cselect_b64 s[10:11], s[2:3], -4.0
1615// CHECK: [0x02,0xf7,0x8a,0x85]
1616
1617s_cselect_b64 s[10:11], s[2:3], src_vccz
1618// CHECK: [0x02,0xfb,0x8a,0x85]
1619
1620s_cselect_b64 s[10:11], s[2:3], src_execz
1621// CHECK: [0x02,0xfc,0x8a,0x85]
1622
1623s_cselect_b64 s[10:11], s[2:3], src_scc
1624// CHECK: [0x02,0xfd,0x8a,0x85]
1625
1626s_cselect_b64 s[10:11], s[2:3], 0xaf123456
1627// CHECK: [0x02,0xff,0x8a,0x85,0x56,0x34,0x12,0xaf]
1628
1629s_cselect_b64 s[10:11], s[2:3], 0x3f717273
1630// CHECK: [0x02,0xff,0x8a,0x85,0x73,0x72,0x71,0x3f]
1631
1632s_and_b32 s5, s1, s2
1633// CHECK: [0x01,0x02,0x05,0x86]
1634
1635s_and_b32 s101, s1, s2
1636// CHECK: [0x01,0x02,0x65,0x86]
1637
1638s_and_b32 flat_scratch_lo, s1, s2
1639// CHECK: [0x01,0x02,0x66,0x86]
1640
1641s_and_b32 flat_scratch_hi, s1, s2
1642// CHECK: [0x01,0x02,0x67,0x86]
1643
1644s_and_b32 vcc_lo, s1, s2
1645// CHECK: [0x01,0x02,0x6a,0x86]
1646
1647s_and_b32 vcc_hi, s1, s2
1648// CHECK: [0x01,0x02,0x6b,0x86]
1649
1650s_and_b32 ttmp15, s1, s2
1651// CHECK: [0x01,0x02,0x7b,0x86]
1652
1653s_and_b32 m0, s1, s2
1654// CHECK: [0x01,0x02,0x7c,0x86]
1655
1656s_and_b32 exec_lo, s1, s2
1657// CHECK: [0x01,0x02,0x7e,0x86]
1658
1659s_and_b32 exec_hi, s1, s2
1660// CHECK: [0x01,0x02,0x7f,0x86]
1661
1662s_and_b32 s5, s101, s2
1663// CHECK: [0x65,0x02,0x05,0x86]
1664
1665s_and_b32 s5, flat_scratch_lo, s2
1666// CHECK: [0x66,0x02,0x05,0x86]
1667
1668s_and_b32 s5, flat_scratch_hi, s2
1669// CHECK: [0x67,0x02,0x05,0x86]
1670
1671s_and_b32 s5, vcc_lo, s2
1672// CHECK: [0x6a,0x02,0x05,0x86]
1673
1674s_and_b32 s5, vcc_hi, s2
1675// CHECK: [0x6b,0x02,0x05,0x86]
1676
1677s_and_b32 s5, ttmp15, s2
1678// CHECK: [0x7b,0x02,0x05,0x86]
1679
1680s_and_b32 s5, m0, s2
1681// CHECK: [0x7c,0x02,0x05,0x86]
1682
1683s_and_b32 s5, exec_lo, s2
1684// CHECK: [0x7e,0x02,0x05,0x86]
1685
1686s_and_b32 s5, exec_hi, s2
1687// CHECK: [0x7f,0x02,0x05,0x86]
1688
1689s_and_b32 s5, 0, s2
1690// CHECK: [0x80,0x02,0x05,0x86]
1691
1692s_and_b32 s5, -1, s2
1693// CHECK: [0xc1,0x02,0x05,0x86]
1694
1695s_and_b32 s5, 0.5, s2
1696// CHECK: [0xf0,0x02,0x05,0x86]
1697
1698s_and_b32 s5, -4.0, s2
1699// CHECK: [0xf7,0x02,0x05,0x86]
1700
1701s_and_b32 s5, src_vccz, s2
1702// CHECK: [0xfb,0x02,0x05,0x86]
1703
1704s_and_b32 s5, src_execz, s2
1705// CHECK: [0xfc,0x02,0x05,0x86]
1706
1707s_and_b32 s5, src_scc, s2
1708// CHECK: [0xfd,0x02,0x05,0x86]
1709
1710s_and_b32 s5, 0xaf123456, s2
1711// CHECK: [0xff,0x02,0x05,0x86,0x56,0x34,0x12,0xaf]
1712
1713s_and_b32 s5, 0x3f717273, s2
1714// CHECK: [0xff,0x02,0x05,0x86,0x73,0x72,0x71,0x3f]
1715
1716s_and_b32 s5, s1, s101
1717// CHECK: [0x01,0x65,0x05,0x86]
1718
1719s_and_b32 s5, s1, flat_scratch_lo
1720// CHECK: [0x01,0x66,0x05,0x86]
1721
1722s_and_b32 s5, s1, flat_scratch_hi
1723// CHECK: [0x01,0x67,0x05,0x86]
1724
1725s_and_b32 s5, s1, vcc_lo
1726// CHECK: [0x01,0x6a,0x05,0x86]
1727
1728s_and_b32 s5, s1, vcc_hi
1729// CHECK: [0x01,0x6b,0x05,0x86]
1730
1731s_and_b32 s5, s1, ttmp15
1732// CHECK: [0x01,0x7b,0x05,0x86]
1733
1734s_and_b32 s5, s1, m0
1735// CHECK: [0x01,0x7c,0x05,0x86]
1736
1737s_and_b32 s5, s1, exec_lo
1738// CHECK: [0x01,0x7e,0x05,0x86]
1739
1740s_and_b32 s5, s1, exec_hi
1741// CHECK: [0x01,0x7f,0x05,0x86]
1742
1743s_and_b32 s5, s1, 0
1744// CHECK: [0x01,0x80,0x05,0x86]
1745
1746s_and_b32 s5, s1, -1
1747// CHECK: [0x01,0xc1,0x05,0x86]
1748
1749s_and_b32 s5, s1, 0.5
1750// CHECK: [0x01,0xf0,0x05,0x86]
1751
1752s_and_b32 s5, s1, -4.0
1753// CHECK: [0x01,0xf7,0x05,0x86]
1754
1755s_and_b32 s5, s1, src_vccz
1756// CHECK: [0x01,0xfb,0x05,0x86]
1757
1758s_and_b32 s5, s1, src_execz
1759// CHECK: [0x01,0xfc,0x05,0x86]
1760
1761s_and_b32 s5, s1, src_scc
1762// CHECK: [0x01,0xfd,0x05,0x86]
1763
1764s_and_b32 s5, s1, 0xaf123456
1765// CHECK: [0x01,0xff,0x05,0x86,0x56,0x34,0x12,0xaf]
1766
1767s_and_b32 s5, s1, 0x3f717273
1768// CHECK: [0x01,0xff,0x05,0x86,0x73,0x72,0x71,0x3f]
1769
1770s_and_b64 s[10:11], s[2:3], s[4:5]
1771// CHECK: [0x02,0x04,0x8a,0x86]
1772
1773s_and_b64 s[12:13], s[2:3], s[4:5]
1774// CHECK: [0x02,0x04,0x8c,0x86]
1775
1776s_and_b64 s[100:101], s[2:3], s[4:5]
1777// CHECK: [0x02,0x04,0xe4,0x86]
1778
1779s_and_b64 flat_scratch, s[2:3], s[4:5]
1780// CHECK: [0x02,0x04,0xe6,0x86]
1781
1782s_and_b64 vcc, s[2:3], s[4:5]
1783// CHECK: [0x02,0x04,0xea,0x86]
1784
1785s_and_b64 ttmp[14:15], s[2:3], s[4:5]
1786// CHECK: [0x02,0x04,0xfa,0x86]
1787
1788s_and_b64 exec, s[2:3], s[4:5]
1789// CHECK: [0x02,0x04,0xfe,0x86]
1790
1791s_and_b64 s[10:11], s[4:5], s[4:5]
1792// CHECK: [0x04,0x04,0x8a,0x86]
1793
1794s_and_b64 s[10:11], s[100:101], s[4:5]
1795// CHECK: [0x64,0x04,0x8a,0x86]
1796
1797s_and_b64 s[10:11], flat_scratch, s[4:5]
1798// CHECK: [0x66,0x04,0x8a,0x86]
1799
1800s_and_b64 s[10:11], vcc, s[4:5]
1801// CHECK: [0x6a,0x04,0x8a,0x86]
1802
1803s_and_b64 s[10:11], ttmp[14:15], s[4:5]
1804// CHECK: [0x7a,0x04,0x8a,0x86]
1805
1806s_and_b64 s[10:11], exec, s[4:5]
1807// CHECK: [0x7e,0x04,0x8a,0x86]
1808
1809s_and_b64 s[10:11], 0, s[4:5]
1810// CHECK: [0x80,0x04,0x8a,0x86]
1811
1812s_and_b64 s[10:11], -1, s[4:5]
1813// CHECK: [0xc1,0x04,0x8a,0x86]
1814
1815s_and_b64 s[10:11], 0.5, s[4:5]
1816// CHECK: [0xf0,0x04,0x8a,0x86]
1817
1818s_and_b64 s[10:11], -4.0, s[4:5]
1819// CHECK: [0xf7,0x04,0x8a,0x86]
1820
1821s_and_b64 s[10:11], src_vccz, s[4:5]
1822// CHECK: [0xfb,0x04,0x8a,0x86]
1823
1824s_and_b64 s[10:11], src_execz, s[4:5]
1825// CHECK: [0xfc,0x04,0x8a,0x86]
1826
1827s_and_b64 s[10:11], src_scc, s[4:5]
1828// CHECK: [0xfd,0x04,0x8a,0x86]
1829
1830s_and_b64 s[10:11], 0xaf123456, s[4:5]
1831// CHECK: [0xff,0x04,0x8a,0x86,0x56,0x34,0x12,0xaf]
1832
1833s_and_b64 s[10:11], 0x3f717273, s[4:5]
1834// CHECK: [0xff,0x04,0x8a,0x86,0x73,0x72,0x71,0x3f]
1835
1836s_and_b64 s[10:11], s[2:3], s[6:7]
1837// CHECK: [0x02,0x06,0x8a,0x86]
1838
1839s_and_b64 s[10:11], s[2:3], s[100:101]
1840// CHECK: [0x02,0x64,0x8a,0x86]
1841
1842s_and_b64 s[10:11], s[2:3], flat_scratch
1843// CHECK: [0x02,0x66,0x8a,0x86]
1844
1845s_and_b64 s[10:11], s[2:3], vcc
1846// CHECK: [0x02,0x6a,0x8a,0x86]
1847
1848s_and_b64 s[10:11], s[2:3], ttmp[14:15]
1849// CHECK: [0x02,0x7a,0x8a,0x86]
1850
1851s_and_b64 s[10:11], s[2:3], exec
1852// CHECK: [0x02,0x7e,0x8a,0x86]
1853
1854s_and_b64 s[10:11], s[2:3], 0
1855// CHECK: [0x02,0x80,0x8a,0x86]
1856
1857s_and_b64 s[10:11], s[2:3], -1
1858// CHECK: [0x02,0xc1,0x8a,0x86]
1859
1860s_and_b64 s[10:11], s[2:3], 0.5
1861// CHECK: [0x02,0xf0,0x8a,0x86]
1862
1863s_and_b64 s[10:11], s[2:3], -4.0
1864// CHECK: [0x02,0xf7,0x8a,0x86]
1865
1866s_and_b64 s[10:11], s[2:3], src_vccz
1867// CHECK: [0x02,0xfb,0x8a,0x86]
1868
1869s_and_b64 s[10:11], s[2:3], src_execz
1870// CHECK: [0x02,0xfc,0x8a,0x86]
1871
1872s_and_b64 s[10:11], s[2:3], src_scc
1873// CHECK: [0x02,0xfd,0x8a,0x86]
1874
1875s_and_b64 s[10:11], s[2:3], 0xaf123456
1876// CHECK: [0x02,0xff,0x8a,0x86,0x56,0x34,0x12,0xaf]
1877
1878s_and_b64 s[10:11], s[2:3], 0x3f717273
1879// CHECK: [0x02,0xff,0x8a,0x86,0x73,0x72,0x71,0x3f]
1880
1881s_or_b32 s5, s1, s2
1882// CHECK: [0x01,0x02,0x05,0x87]
1883
1884s_or_b32 s101, s1, s2
1885// CHECK: [0x01,0x02,0x65,0x87]
1886
1887s_or_b32 flat_scratch_lo, s1, s2
1888// CHECK: [0x01,0x02,0x66,0x87]
1889
1890s_or_b32 flat_scratch_hi, s1, s2
1891// CHECK: [0x01,0x02,0x67,0x87]
1892
1893s_or_b32 vcc_lo, s1, s2
1894// CHECK: [0x01,0x02,0x6a,0x87]
1895
1896s_or_b32 vcc_hi, s1, s2
1897// CHECK: [0x01,0x02,0x6b,0x87]
1898
1899s_or_b32 ttmp15, s1, s2
1900// CHECK: [0x01,0x02,0x7b,0x87]
1901
1902s_or_b32 m0, s1, s2
1903// CHECK: [0x01,0x02,0x7c,0x87]
1904
1905s_or_b32 exec_lo, s1, s2
1906// CHECK: [0x01,0x02,0x7e,0x87]
1907
1908s_or_b32 exec_hi, s1, s2
1909// CHECK: [0x01,0x02,0x7f,0x87]
1910
1911s_or_b32 s5, s101, s2
1912// CHECK: [0x65,0x02,0x05,0x87]
1913
1914s_or_b32 s5, flat_scratch_lo, s2
1915// CHECK: [0x66,0x02,0x05,0x87]
1916
1917s_or_b32 s5, flat_scratch_hi, s2
1918// CHECK: [0x67,0x02,0x05,0x87]
1919
1920s_or_b32 s5, vcc_lo, s2
1921// CHECK: [0x6a,0x02,0x05,0x87]
1922
1923s_or_b32 s5, vcc_hi, s2
1924// CHECK: [0x6b,0x02,0x05,0x87]
1925
1926s_or_b32 s5, ttmp15, s2
1927// CHECK: [0x7b,0x02,0x05,0x87]
1928
1929s_or_b32 s5, m0, s2
1930// CHECK: [0x7c,0x02,0x05,0x87]
1931
1932s_or_b32 s5, exec_lo, s2
1933// CHECK: [0x7e,0x02,0x05,0x87]
1934
1935s_or_b32 s5, exec_hi, s2
1936// CHECK: [0x7f,0x02,0x05,0x87]
1937
1938s_or_b32 s5, 0, s2
1939// CHECK: [0x80,0x02,0x05,0x87]
1940
1941s_or_b32 s5, -1, s2
1942// CHECK: [0xc1,0x02,0x05,0x87]
1943
1944s_or_b32 s5, 0.5, s2
1945// CHECK: [0xf0,0x02,0x05,0x87]
1946
1947s_or_b32 s5, -4.0, s2
1948// CHECK: [0xf7,0x02,0x05,0x87]
1949
1950s_or_b32 s5, src_vccz, s2
1951// CHECK: [0xfb,0x02,0x05,0x87]
1952
1953s_or_b32 s5, src_execz, s2
1954// CHECK: [0xfc,0x02,0x05,0x87]
1955
1956s_or_b32 s5, src_scc, s2
1957// CHECK: [0xfd,0x02,0x05,0x87]
1958
1959s_or_b32 s5, 0xaf123456, s2
1960// CHECK: [0xff,0x02,0x05,0x87,0x56,0x34,0x12,0xaf]
1961
1962s_or_b32 s5, 0x3f717273, s2
1963// CHECK: [0xff,0x02,0x05,0x87,0x73,0x72,0x71,0x3f]
1964
1965s_or_b32 s5, s1, s101
1966// CHECK: [0x01,0x65,0x05,0x87]
1967
1968s_or_b32 s5, s1, flat_scratch_lo
1969// CHECK: [0x01,0x66,0x05,0x87]
1970
1971s_or_b32 s5, s1, flat_scratch_hi
1972// CHECK: [0x01,0x67,0x05,0x87]
1973
1974s_or_b32 s5, s1, vcc_lo
1975// CHECK: [0x01,0x6a,0x05,0x87]
1976
1977s_or_b32 s5, s1, vcc_hi
1978// CHECK: [0x01,0x6b,0x05,0x87]
1979
1980s_or_b32 s5, s1, ttmp15
1981// CHECK: [0x01,0x7b,0x05,0x87]
1982
1983s_or_b32 s5, s1, m0
1984// CHECK: [0x01,0x7c,0x05,0x87]
1985
1986s_or_b32 s5, s1, exec_lo
1987// CHECK: [0x01,0x7e,0x05,0x87]
1988
1989s_or_b32 s5, s1, exec_hi
1990// CHECK: [0x01,0x7f,0x05,0x87]
1991
1992s_or_b32 s5, s1, 0
1993// CHECK: [0x01,0x80,0x05,0x87]
1994
1995s_or_b32 s5, s1, -1
1996// CHECK: [0x01,0xc1,0x05,0x87]
1997
1998s_or_b32 s5, s1, 0.5
1999// CHECK: [0x01,0xf0,0x05,0x87]
2000
2001s_or_b32 s5, s1, -4.0
2002// CHECK: [0x01,0xf7,0x05,0x87]
2003
2004s_or_b32 s5, s1, src_vccz
2005// CHECK: [0x01,0xfb,0x05,0x87]
2006
2007s_or_b32 s5, s1, src_execz
2008// CHECK: [0x01,0xfc,0x05,0x87]
2009
2010s_or_b32 s5, s1, src_scc
2011// CHECK: [0x01,0xfd,0x05,0x87]
2012
2013s_or_b32 s5, s1, 0xaf123456
2014// CHECK: [0x01,0xff,0x05,0x87,0x56,0x34,0x12,0xaf]
2015
2016s_or_b32 s5, s1, 0x3f717273
2017// CHECK: [0x01,0xff,0x05,0x87,0x73,0x72,0x71,0x3f]
2018
2019s_or_b64 s[10:11], s[2:3], s[4:5]
2020// CHECK: [0x02,0x04,0x8a,0x87]
2021
2022s_or_b64 s[12:13], s[2:3], s[4:5]
2023// CHECK: [0x02,0x04,0x8c,0x87]
2024
2025s_or_b64 s[100:101], s[2:3], s[4:5]
2026// CHECK: [0x02,0x04,0xe4,0x87]
2027
2028s_or_b64 flat_scratch, s[2:3], s[4:5]
2029// CHECK: [0x02,0x04,0xe6,0x87]
2030
2031s_or_b64 vcc, s[2:3], s[4:5]
2032// CHECK: [0x02,0x04,0xea,0x87]
2033
2034s_or_b64 ttmp[14:15], s[2:3], s[4:5]
2035// CHECK: [0x02,0x04,0xfa,0x87]
2036
2037s_or_b64 exec, s[2:3], s[4:5]
2038// CHECK: [0x02,0x04,0xfe,0x87]
2039
2040s_or_b64 s[10:11], s[4:5], s[4:5]
2041// CHECK: [0x04,0x04,0x8a,0x87]
2042
2043s_or_b64 s[10:11], s[100:101], s[4:5]
2044// CHECK: [0x64,0x04,0x8a,0x87]
2045
2046s_or_b64 s[10:11], flat_scratch, s[4:5]
2047// CHECK: [0x66,0x04,0x8a,0x87]
2048
2049s_or_b64 s[10:11], vcc, s[4:5]
2050// CHECK: [0x6a,0x04,0x8a,0x87]
2051
2052s_or_b64 s[10:11], ttmp[14:15], s[4:5]
2053// CHECK: [0x7a,0x04,0x8a,0x87]
2054
2055s_or_b64 s[10:11], exec, s[4:5]
2056// CHECK: [0x7e,0x04,0x8a,0x87]
2057
2058s_or_b64 s[10:11], 0, s[4:5]
2059// CHECK: [0x80,0x04,0x8a,0x87]
2060
2061s_or_b64 s[10:11], -1, s[4:5]
2062// CHECK: [0xc1,0x04,0x8a,0x87]
2063
2064s_or_b64 s[10:11], 0.5, s[4:5]
2065// CHECK: [0xf0,0x04,0x8a,0x87]
2066
2067s_or_b64 s[10:11], -4.0, s[4:5]
2068// CHECK: [0xf7,0x04,0x8a,0x87]
2069
2070s_or_b64 s[10:11], src_vccz, s[4:5]
2071// CHECK: [0xfb,0x04,0x8a,0x87]
2072
2073s_or_b64 s[10:11], src_execz, s[4:5]
2074// CHECK: [0xfc,0x04,0x8a,0x87]
2075
2076s_or_b64 s[10:11], src_scc, s[4:5]
2077// CHECK: [0xfd,0x04,0x8a,0x87]
2078
2079s_or_b64 s[10:11], 0xaf123456, s[4:5]
2080// CHECK: [0xff,0x04,0x8a,0x87,0x56,0x34,0x12,0xaf]
2081
2082s_or_b64 s[10:11], 0x3f717273, s[4:5]
2083// CHECK: [0xff,0x04,0x8a,0x87,0x73,0x72,0x71,0x3f]
2084
2085s_or_b64 s[10:11], s[2:3], s[6:7]
2086// CHECK: [0x02,0x06,0x8a,0x87]
2087
2088s_or_b64 s[10:11], s[2:3], s[100:101]
2089// CHECK: [0x02,0x64,0x8a,0x87]
2090
2091s_or_b64 s[10:11], s[2:3], flat_scratch
2092// CHECK: [0x02,0x66,0x8a,0x87]
2093
2094s_or_b64 s[10:11], s[2:3], vcc
2095// CHECK: [0x02,0x6a,0x8a,0x87]
2096
2097s_or_b64 s[10:11], s[2:3], ttmp[14:15]
2098// CHECK: [0x02,0x7a,0x8a,0x87]
2099
2100s_or_b64 s[10:11], s[2:3], exec
2101// CHECK: [0x02,0x7e,0x8a,0x87]
2102
2103s_or_b64 s[10:11], s[2:3], 0
2104// CHECK: [0x02,0x80,0x8a,0x87]
2105
2106s_or_b64 s[10:11], s[2:3], -1
2107// CHECK: [0x02,0xc1,0x8a,0x87]
2108
2109s_or_b64 s[10:11], s[2:3], 0.5
2110// CHECK: [0x02,0xf0,0x8a,0x87]
2111
2112s_or_b64 s[10:11], s[2:3], -4.0
2113// CHECK: [0x02,0xf7,0x8a,0x87]
2114
2115s_or_b64 s[10:11], s[2:3], src_vccz
2116// CHECK: [0x02,0xfb,0x8a,0x87]
2117
2118s_or_b64 s[10:11], s[2:3], src_execz
2119// CHECK: [0x02,0xfc,0x8a,0x87]
2120
2121s_or_b64 s[10:11], s[2:3], src_scc
2122// CHECK: [0x02,0xfd,0x8a,0x87]
2123
2124s_or_b64 s[10:11], s[2:3], 0xaf123456
2125// CHECK: [0x02,0xff,0x8a,0x87,0x56,0x34,0x12,0xaf]
2126
2127s_or_b64 s[10:11], s[2:3], 0x3f717273
2128// CHECK: [0x02,0xff,0x8a,0x87,0x73,0x72,0x71,0x3f]
2129
2130s_xor_b32 s5, s1, s2
2131// CHECK: [0x01,0x02,0x05,0x88]
2132
2133s_xor_b32 s101, s1, s2
2134// CHECK: [0x01,0x02,0x65,0x88]
2135
2136s_xor_b32 flat_scratch_lo, s1, s2
2137// CHECK: [0x01,0x02,0x66,0x88]
2138
2139s_xor_b32 flat_scratch_hi, s1, s2
2140// CHECK: [0x01,0x02,0x67,0x88]
2141
2142s_xor_b32 vcc_lo, s1, s2
2143// CHECK: [0x01,0x02,0x6a,0x88]
2144
2145s_xor_b32 vcc_hi, s1, s2
2146// CHECK: [0x01,0x02,0x6b,0x88]
2147
2148s_xor_b32 ttmp15, s1, s2
2149// CHECK: [0x01,0x02,0x7b,0x88]
2150
2151s_xor_b32 m0, s1, s2
2152// CHECK: [0x01,0x02,0x7c,0x88]
2153
2154s_xor_b32 exec_lo, s1, s2
2155// CHECK: [0x01,0x02,0x7e,0x88]
2156
2157s_xor_b32 exec_hi, s1, s2
2158// CHECK: [0x01,0x02,0x7f,0x88]
2159
2160s_xor_b32 s5, s101, s2
2161// CHECK: [0x65,0x02,0x05,0x88]
2162
2163s_xor_b32 s5, flat_scratch_lo, s2
2164// CHECK: [0x66,0x02,0x05,0x88]
2165
2166s_xor_b32 s5, flat_scratch_hi, s2
2167// CHECK: [0x67,0x02,0x05,0x88]
2168
2169s_xor_b32 s5, vcc_lo, s2
2170// CHECK: [0x6a,0x02,0x05,0x88]
2171
2172s_xor_b32 s5, vcc_hi, s2
2173// CHECK: [0x6b,0x02,0x05,0x88]
2174
2175s_xor_b32 s5, ttmp15, s2
2176// CHECK: [0x7b,0x02,0x05,0x88]
2177
2178s_xor_b32 s5, m0, s2
2179// CHECK: [0x7c,0x02,0x05,0x88]
2180
2181s_xor_b32 s5, exec_lo, s2
2182// CHECK: [0x7e,0x02,0x05,0x88]
2183
2184s_xor_b32 s5, exec_hi, s2
2185// CHECK: [0x7f,0x02,0x05,0x88]
2186
2187s_xor_b32 s5, 0, s2
2188// CHECK: [0x80,0x02,0x05,0x88]
2189
2190s_xor_b32 s5, -1, s2
2191// CHECK: [0xc1,0x02,0x05,0x88]
2192
2193s_xor_b32 s5, 0.5, s2
2194// CHECK: [0xf0,0x02,0x05,0x88]
2195
2196s_xor_b32 s5, -4.0, s2
2197// CHECK: [0xf7,0x02,0x05,0x88]
2198
2199s_xor_b32 s5, src_vccz, s2
2200// CHECK: [0xfb,0x02,0x05,0x88]
2201
2202s_xor_b32 s5, src_execz, s2
2203// CHECK: [0xfc,0x02,0x05,0x88]
2204
2205s_xor_b32 s5, src_scc, s2
2206// CHECK: [0xfd,0x02,0x05,0x88]
2207
2208s_xor_b32 s5, 0xaf123456, s2
2209// CHECK: [0xff,0x02,0x05,0x88,0x56,0x34,0x12,0xaf]
2210
2211s_xor_b32 s5, 0x3f717273, s2
2212// CHECK: [0xff,0x02,0x05,0x88,0x73,0x72,0x71,0x3f]
2213
2214s_xor_b32 s5, s1, s101
2215// CHECK: [0x01,0x65,0x05,0x88]
2216
2217s_xor_b32 s5, s1, flat_scratch_lo
2218// CHECK: [0x01,0x66,0x05,0x88]
2219
2220s_xor_b32 s5, s1, flat_scratch_hi
2221// CHECK: [0x01,0x67,0x05,0x88]
2222
2223s_xor_b32 s5, s1, vcc_lo
2224// CHECK: [0x01,0x6a,0x05,0x88]
2225
2226s_xor_b32 s5, s1, vcc_hi
2227// CHECK: [0x01,0x6b,0x05,0x88]
2228
2229s_xor_b32 s5, s1, ttmp15
2230// CHECK: [0x01,0x7b,0x05,0x88]
2231
2232s_xor_b32 s5, s1, m0
2233// CHECK: [0x01,0x7c,0x05,0x88]
2234
2235s_xor_b32 s5, s1, exec_lo
2236// CHECK: [0x01,0x7e,0x05,0x88]
2237
2238s_xor_b32 s5, s1, exec_hi
2239// CHECK: [0x01,0x7f,0x05,0x88]
2240
2241s_xor_b32 s5, s1, 0
2242// CHECK: [0x01,0x80,0x05,0x88]
2243
2244s_xor_b32 s5, s1, -1
2245// CHECK: [0x01,0xc1,0x05,0x88]
2246
2247s_xor_b32 s5, s1, 0.5
2248// CHECK: [0x01,0xf0,0x05,0x88]
2249
2250s_xor_b32 s5, s1, -4.0
2251// CHECK: [0x01,0xf7,0x05,0x88]
2252
2253s_xor_b32 s5, s1, src_vccz
2254// CHECK: [0x01,0xfb,0x05,0x88]
2255
2256s_xor_b32 s5, s1, src_execz
2257// CHECK: [0x01,0xfc,0x05,0x88]
2258
2259s_xor_b32 s5, s1, src_scc
2260// CHECK: [0x01,0xfd,0x05,0x88]
2261
2262s_xor_b32 s5, s1, 0xaf123456
2263// CHECK: [0x01,0xff,0x05,0x88,0x56,0x34,0x12,0xaf]
2264
2265s_xor_b32 s5, s1, 0x3f717273
2266// CHECK: [0x01,0xff,0x05,0x88,0x73,0x72,0x71,0x3f]
2267
2268s_xor_b64 s[10:11], s[2:3], s[4:5]
2269// CHECK: [0x02,0x04,0x8a,0x88]
2270
2271s_xor_b64 s[12:13], s[2:3], s[4:5]
2272// CHECK: [0x02,0x04,0x8c,0x88]
2273
2274s_xor_b64 s[100:101], s[2:3], s[4:5]
2275// CHECK: [0x02,0x04,0xe4,0x88]
2276
2277s_xor_b64 flat_scratch, s[2:3], s[4:5]
2278// CHECK: [0x02,0x04,0xe6,0x88]
2279
2280s_xor_b64 vcc, s[2:3], s[4:5]
2281// CHECK: [0x02,0x04,0xea,0x88]
2282
2283s_xor_b64 ttmp[14:15], s[2:3], s[4:5]
2284// CHECK: [0x02,0x04,0xfa,0x88]
2285
2286s_xor_b64 exec, s[2:3], s[4:5]
2287// CHECK: [0x02,0x04,0xfe,0x88]
2288
2289s_xor_b64 s[10:11], s[4:5], s[4:5]
2290// CHECK: [0x04,0x04,0x8a,0x88]
2291
2292s_xor_b64 s[10:11], s[100:101], s[4:5]
2293// CHECK: [0x64,0x04,0x8a,0x88]
2294
2295s_xor_b64 s[10:11], flat_scratch, s[4:5]
2296// CHECK: [0x66,0x04,0x8a,0x88]
2297
2298s_xor_b64 s[10:11], vcc, s[4:5]
2299// CHECK: [0x6a,0x04,0x8a,0x88]
2300
2301s_xor_b64 s[10:11], ttmp[14:15], s[4:5]
2302// CHECK: [0x7a,0x04,0x8a,0x88]
2303
2304s_xor_b64 s[10:11], exec, s[4:5]
2305// CHECK: [0x7e,0x04,0x8a,0x88]
2306
2307s_xor_b64 s[10:11], 0, s[4:5]
2308// CHECK: [0x80,0x04,0x8a,0x88]
2309
2310s_xor_b64 s[10:11], -1, s[4:5]
2311// CHECK: [0xc1,0x04,0x8a,0x88]
2312
2313s_xor_b64 s[10:11], 0.5, s[4:5]
2314// CHECK: [0xf0,0x04,0x8a,0x88]
2315
2316s_xor_b64 s[10:11], -4.0, s[4:5]
2317// CHECK: [0xf7,0x04,0x8a,0x88]
2318
2319s_xor_b64 s[10:11], src_vccz, s[4:5]
2320// CHECK: [0xfb,0x04,0x8a,0x88]
2321
2322s_xor_b64 s[10:11], src_execz, s[4:5]
2323// CHECK: [0xfc,0x04,0x8a,0x88]
2324
2325s_xor_b64 s[10:11], src_scc, s[4:5]
2326// CHECK: [0xfd,0x04,0x8a,0x88]
2327
2328s_xor_b64 s[10:11], 0xaf123456, s[4:5]
2329// CHECK: [0xff,0x04,0x8a,0x88,0x56,0x34,0x12,0xaf]
2330
2331s_xor_b64 s[10:11], 0x3f717273, s[4:5]
2332// CHECK: [0xff,0x04,0x8a,0x88,0x73,0x72,0x71,0x3f]
2333
2334s_xor_b64 s[10:11], s[2:3], s[6:7]
2335// CHECK: [0x02,0x06,0x8a,0x88]
2336
2337s_xor_b64 s[10:11], s[2:3], s[100:101]
2338// CHECK: [0x02,0x64,0x8a,0x88]
2339
2340s_xor_b64 s[10:11], s[2:3], flat_scratch
2341// CHECK: [0x02,0x66,0x8a,0x88]
2342
2343s_xor_b64 s[10:11], s[2:3], vcc
2344// CHECK: [0x02,0x6a,0x8a,0x88]
2345
2346s_xor_b64 s[10:11], s[2:3], ttmp[14:15]
2347// CHECK: [0x02,0x7a,0x8a,0x88]
2348
2349s_xor_b64 s[10:11], s[2:3], exec
2350// CHECK: [0x02,0x7e,0x8a,0x88]
2351
2352s_xor_b64 s[10:11], s[2:3], 0
2353// CHECK: [0x02,0x80,0x8a,0x88]
2354
2355s_xor_b64 s[10:11], s[2:3], -1
2356// CHECK: [0x02,0xc1,0x8a,0x88]
2357
2358s_xor_b64 s[10:11], s[2:3], 0.5
2359// CHECK: [0x02,0xf0,0x8a,0x88]
2360
2361s_xor_b64 s[10:11], s[2:3], -4.0
2362// CHECK: [0x02,0xf7,0x8a,0x88]
2363
2364s_xor_b64 s[10:11], s[2:3], src_vccz
2365// CHECK: [0x02,0xfb,0x8a,0x88]
2366
2367s_xor_b64 s[10:11], s[2:3], src_execz
2368// CHECK: [0x02,0xfc,0x8a,0x88]
2369
2370s_xor_b64 s[10:11], s[2:3], src_scc
2371// CHECK: [0x02,0xfd,0x8a,0x88]
2372
2373s_xor_b64 s[10:11], s[2:3], 0xaf123456
2374// CHECK: [0x02,0xff,0x8a,0x88,0x56,0x34,0x12,0xaf]
2375
2376s_xor_b64 s[10:11], s[2:3], 0x3f717273
2377// CHECK: [0x02,0xff,0x8a,0x88,0x73,0x72,0x71,0x3f]
2378
2379s_andn2_b32 s5, s1, s2
2380// CHECK: [0x01,0x02,0x05,0x89]
2381
2382s_andn2_b32 s101, s1, s2
2383// CHECK: [0x01,0x02,0x65,0x89]
2384
2385s_andn2_b32 flat_scratch_lo, s1, s2
2386// CHECK: [0x01,0x02,0x66,0x89]
2387
2388s_andn2_b32 flat_scratch_hi, s1, s2
2389// CHECK: [0x01,0x02,0x67,0x89]
2390
2391s_andn2_b32 vcc_lo, s1, s2
2392// CHECK: [0x01,0x02,0x6a,0x89]
2393
2394s_andn2_b32 vcc_hi, s1, s2
2395// CHECK: [0x01,0x02,0x6b,0x89]
2396
2397s_andn2_b32 ttmp15, s1, s2
2398// CHECK: [0x01,0x02,0x7b,0x89]
2399
2400s_andn2_b32 m0, s1, s2
2401// CHECK: [0x01,0x02,0x7c,0x89]
2402
2403s_andn2_b32 exec_lo, s1, s2
2404// CHECK: [0x01,0x02,0x7e,0x89]
2405
2406s_andn2_b32 exec_hi, s1, s2
2407// CHECK: [0x01,0x02,0x7f,0x89]
2408
2409s_andn2_b32 s5, s101, s2
2410// CHECK: [0x65,0x02,0x05,0x89]
2411
2412s_andn2_b32 s5, flat_scratch_lo, s2
2413// CHECK: [0x66,0x02,0x05,0x89]
2414
2415s_andn2_b32 s5, flat_scratch_hi, s2
2416// CHECK: [0x67,0x02,0x05,0x89]
2417
2418s_andn2_b32 s5, vcc_lo, s2
2419// CHECK: [0x6a,0x02,0x05,0x89]
2420
2421s_andn2_b32 s5, vcc_hi, s2
2422// CHECK: [0x6b,0x02,0x05,0x89]
2423
2424s_andn2_b32 s5, ttmp15, s2
2425// CHECK: [0x7b,0x02,0x05,0x89]
2426
2427s_andn2_b32 s5, m0, s2
2428// CHECK: [0x7c,0x02,0x05,0x89]
2429
2430s_andn2_b32 s5, exec_lo, s2
2431// CHECK: [0x7e,0x02,0x05,0x89]
2432
2433s_andn2_b32 s5, exec_hi, s2
2434// CHECK: [0x7f,0x02,0x05,0x89]
2435
2436s_andn2_b32 s5, 0, s2
2437// CHECK: [0x80,0x02,0x05,0x89]
2438
2439s_andn2_b32 s5, -1, s2
2440// CHECK: [0xc1,0x02,0x05,0x89]
2441
2442s_andn2_b32 s5, 0.5, s2
2443// CHECK: [0xf0,0x02,0x05,0x89]
2444
2445s_andn2_b32 s5, -4.0, s2
2446// CHECK: [0xf7,0x02,0x05,0x89]
2447
2448s_andn2_b32 s5, src_vccz, s2
2449// CHECK: [0xfb,0x02,0x05,0x89]
2450
2451s_andn2_b32 s5, src_execz, s2
2452// CHECK: [0xfc,0x02,0x05,0x89]
2453
2454s_andn2_b32 s5, src_scc, s2
2455// CHECK: [0xfd,0x02,0x05,0x89]
2456
2457s_andn2_b32 s5, 0xaf123456, s2
2458// CHECK: [0xff,0x02,0x05,0x89,0x56,0x34,0x12,0xaf]
2459
2460s_andn2_b32 s5, 0x3f717273, s2
2461// CHECK: [0xff,0x02,0x05,0x89,0x73,0x72,0x71,0x3f]
2462
2463s_andn2_b32 s5, s1, s101
2464// CHECK: [0x01,0x65,0x05,0x89]
2465
2466s_andn2_b32 s5, s1, flat_scratch_lo
2467// CHECK: [0x01,0x66,0x05,0x89]
2468
2469s_andn2_b32 s5, s1, flat_scratch_hi
2470// CHECK: [0x01,0x67,0x05,0x89]
2471
2472s_andn2_b32 s5, s1, vcc_lo
2473// CHECK: [0x01,0x6a,0x05,0x89]
2474
2475s_andn2_b32 s5, s1, vcc_hi
2476// CHECK: [0x01,0x6b,0x05,0x89]
2477
2478s_andn2_b32 s5, s1, ttmp15
2479// CHECK: [0x01,0x7b,0x05,0x89]
2480
2481s_andn2_b32 s5, s1, m0
2482// CHECK: [0x01,0x7c,0x05,0x89]
2483
2484s_andn2_b32 s5, s1, exec_lo
2485// CHECK: [0x01,0x7e,0x05,0x89]
2486
2487s_andn2_b32 s5, s1, exec_hi
2488// CHECK: [0x01,0x7f,0x05,0x89]
2489
2490s_andn2_b32 s5, s1, 0
2491// CHECK: [0x01,0x80,0x05,0x89]
2492
2493s_andn2_b32 s5, s1, -1
2494// CHECK: [0x01,0xc1,0x05,0x89]
2495
2496s_andn2_b32 s5, s1, 0.5
2497// CHECK: [0x01,0xf0,0x05,0x89]
2498
2499s_andn2_b32 s5, s1, -4.0
2500// CHECK: [0x01,0xf7,0x05,0x89]
2501
2502s_andn2_b32 s5, s1, src_vccz
2503// CHECK: [0x01,0xfb,0x05,0x89]
2504
2505s_andn2_b32 s5, s1, src_execz
2506// CHECK: [0x01,0xfc,0x05,0x89]
2507
2508s_andn2_b32 s5, s1, src_scc
2509// CHECK: [0x01,0xfd,0x05,0x89]
2510
2511s_andn2_b32 s5, s1, 0xaf123456
2512// CHECK: [0x01,0xff,0x05,0x89,0x56,0x34,0x12,0xaf]
2513
2514s_andn2_b32 s5, s1, 0x3f717273
2515// CHECK: [0x01,0xff,0x05,0x89,0x73,0x72,0x71,0x3f]
2516
2517s_andn2_b64 s[10:11], s[2:3], s[4:5]
2518// CHECK: [0x02,0x04,0x8a,0x89]
2519
2520s_andn2_b64 s[12:13], s[2:3], s[4:5]
2521// CHECK: [0x02,0x04,0x8c,0x89]
2522
2523s_andn2_b64 s[100:101], s[2:3], s[4:5]
2524// CHECK: [0x02,0x04,0xe4,0x89]
2525
2526s_andn2_b64 flat_scratch, s[2:3], s[4:5]
2527// CHECK: [0x02,0x04,0xe6,0x89]
2528
2529s_andn2_b64 vcc, s[2:3], s[4:5]
2530// CHECK: [0x02,0x04,0xea,0x89]
2531
2532s_andn2_b64 ttmp[14:15], s[2:3], s[4:5]
2533// CHECK: [0x02,0x04,0xfa,0x89]
2534
2535s_andn2_b64 exec, s[2:3], s[4:5]
2536// CHECK: [0x02,0x04,0xfe,0x89]
2537
2538s_andn2_b64 s[10:11], s[4:5], s[4:5]
2539// CHECK: [0x04,0x04,0x8a,0x89]
2540
2541s_andn2_b64 s[10:11], s[100:101], s[4:5]
2542// CHECK: [0x64,0x04,0x8a,0x89]
2543
2544s_andn2_b64 s[10:11], flat_scratch, s[4:5]
2545// CHECK: [0x66,0x04,0x8a,0x89]
2546
2547s_andn2_b64 s[10:11], vcc, s[4:5]
2548// CHECK: [0x6a,0x04,0x8a,0x89]
2549
2550s_andn2_b64 s[10:11], ttmp[14:15], s[4:5]
2551// CHECK: [0x7a,0x04,0x8a,0x89]
2552
2553s_andn2_b64 s[10:11], exec, s[4:5]
2554// CHECK: [0x7e,0x04,0x8a,0x89]
2555
2556s_andn2_b64 s[10:11], 0, s[4:5]
2557// CHECK: [0x80,0x04,0x8a,0x89]
2558
2559s_andn2_b64 s[10:11], -1, s[4:5]
2560// CHECK: [0xc1,0x04,0x8a,0x89]
2561
2562s_andn2_b64 s[10:11], 0.5, s[4:5]
2563// CHECK: [0xf0,0x04,0x8a,0x89]
2564
2565s_andn2_b64 s[10:11], -4.0, s[4:5]
2566// CHECK: [0xf7,0x04,0x8a,0x89]
2567
2568s_andn2_b64 s[10:11], src_vccz, s[4:5]
2569// CHECK: [0xfb,0x04,0x8a,0x89]
2570
2571s_andn2_b64 s[10:11], src_execz, s[4:5]
2572// CHECK: [0xfc,0x04,0x8a,0x89]
2573
2574s_andn2_b64 s[10:11], src_scc, s[4:5]
2575// CHECK: [0xfd,0x04,0x8a,0x89]
2576
2577s_andn2_b64 s[10:11], 0xaf123456, s[4:5]
2578// CHECK: [0xff,0x04,0x8a,0x89,0x56,0x34,0x12,0xaf]
2579
2580s_andn2_b64 s[10:11], 0x3f717273, s[4:5]
2581// CHECK: [0xff,0x04,0x8a,0x89,0x73,0x72,0x71,0x3f]
2582
2583s_andn2_b64 s[10:11], s[2:3], s[6:7]
2584// CHECK: [0x02,0x06,0x8a,0x89]
2585
2586s_andn2_b64 s[10:11], s[2:3], s[100:101]
2587// CHECK: [0x02,0x64,0x8a,0x89]
2588
2589s_andn2_b64 s[10:11], s[2:3], flat_scratch
2590// CHECK: [0x02,0x66,0x8a,0x89]
2591
2592s_andn2_b64 s[10:11], s[2:3], vcc
2593// CHECK: [0x02,0x6a,0x8a,0x89]
2594
2595s_andn2_b64 s[10:11], s[2:3], ttmp[14:15]
2596// CHECK: [0x02,0x7a,0x8a,0x89]
2597
2598s_andn2_b64 s[10:11], s[2:3], exec
2599// CHECK: [0x02,0x7e,0x8a,0x89]
2600
2601s_andn2_b64 s[10:11], s[2:3], 0
2602// CHECK: [0x02,0x80,0x8a,0x89]
2603
2604s_andn2_b64 s[10:11], s[2:3], -1
2605// CHECK: [0x02,0xc1,0x8a,0x89]
2606
2607s_andn2_b64 s[10:11], s[2:3], 0.5
2608// CHECK: [0x02,0xf0,0x8a,0x89]
2609
2610s_andn2_b64 s[10:11], s[2:3], -4.0
2611// CHECK: [0x02,0xf7,0x8a,0x89]
2612
2613s_andn2_b64 s[10:11], s[2:3], src_vccz
2614// CHECK: [0x02,0xfb,0x8a,0x89]
2615
2616s_andn2_b64 s[10:11], s[2:3], src_execz
2617// CHECK: [0x02,0xfc,0x8a,0x89]
2618
2619s_andn2_b64 s[10:11], s[2:3], src_scc
2620// CHECK: [0x02,0xfd,0x8a,0x89]
2621
2622s_andn2_b64 s[10:11], s[2:3], 0xaf123456
2623// CHECK: [0x02,0xff,0x8a,0x89,0x56,0x34,0x12,0xaf]
2624
2625s_andn2_b64 s[10:11], s[2:3], 0x3f717273
2626// CHECK: [0x02,0xff,0x8a,0x89,0x73,0x72,0x71,0x3f]
2627
2628s_orn2_b32 s5, s1, s2
2629// CHECK: [0x01,0x02,0x05,0x8a]
2630
2631s_orn2_b32 s101, s1, s2
2632// CHECK: [0x01,0x02,0x65,0x8a]
2633
2634s_orn2_b32 flat_scratch_lo, s1, s2
2635// CHECK: [0x01,0x02,0x66,0x8a]
2636
2637s_orn2_b32 flat_scratch_hi, s1, s2
2638// CHECK: [0x01,0x02,0x67,0x8a]
2639
2640s_orn2_b32 vcc_lo, s1, s2
2641// CHECK: [0x01,0x02,0x6a,0x8a]
2642
2643s_orn2_b32 vcc_hi, s1, s2
2644// CHECK: [0x01,0x02,0x6b,0x8a]
2645
2646s_orn2_b32 ttmp15, s1, s2
2647// CHECK: [0x01,0x02,0x7b,0x8a]
2648
2649s_orn2_b32 m0, s1, s2
2650// CHECK: [0x01,0x02,0x7c,0x8a]
2651
2652s_orn2_b32 exec_lo, s1, s2
2653// CHECK: [0x01,0x02,0x7e,0x8a]
2654
2655s_orn2_b32 exec_hi, s1, s2
2656// CHECK: [0x01,0x02,0x7f,0x8a]
2657
2658s_orn2_b32 s5, s101, s2
2659// CHECK: [0x65,0x02,0x05,0x8a]
2660
2661s_orn2_b32 s5, flat_scratch_lo, s2
2662// CHECK: [0x66,0x02,0x05,0x8a]
2663
2664s_orn2_b32 s5, flat_scratch_hi, s2
2665// CHECK: [0x67,0x02,0x05,0x8a]
2666
2667s_orn2_b32 s5, vcc_lo, s2
2668// CHECK: [0x6a,0x02,0x05,0x8a]
2669
2670s_orn2_b32 s5, vcc_hi, s2
2671// CHECK: [0x6b,0x02,0x05,0x8a]
2672
2673s_orn2_b32 s5, ttmp15, s2
2674// CHECK: [0x7b,0x02,0x05,0x8a]
2675
2676s_orn2_b32 s5, m0, s2
2677// CHECK: [0x7c,0x02,0x05,0x8a]
2678
2679s_orn2_b32 s5, exec_lo, s2
2680// CHECK: [0x7e,0x02,0x05,0x8a]
2681
2682s_orn2_b32 s5, exec_hi, s2
2683// CHECK: [0x7f,0x02,0x05,0x8a]
2684
2685s_orn2_b32 s5, 0, s2
2686// CHECK: [0x80,0x02,0x05,0x8a]
2687
2688s_orn2_b32 s5, -1, s2
2689// CHECK: [0xc1,0x02,0x05,0x8a]
2690
2691s_orn2_b32 s5, 0.5, s2
2692// CHECK: [0xf0,0x02,0x05,0x8a]
2693
2694s_orn2_b32 s5, -4.0, s2
2695// CHECK: [0xf7,0x02,0x05,0x8a]
2696
2697s_orn2_b32 s5, src_vccz, s2
2698// CHECK: [0xfb,0x02,0x05,0x8a]
2699
2700s_orn2_b32 s5, src_execz, s2
2701// CHECK: [0xfc,0x02,0x05,0x8a]
2702
2703s_orn2_b32 s5, src_scc, s2
2704// CHECK: [0xfd,0x02,0x05,0x8a]
2705
2706s_orn2_b32 s5, 0xaf123456, s2
2707// CHECK: [0xff,0x02,0x05,0x8a,0x56,0x34,0x12,0xaf]
2708
2709s_orn2_b32 s5, 0x3f717273, s2
2710// CHECK: [0xff,0x02,0x05,0x8a,0x73,0x72,0x71,0x3f]
2711
2712s_orn2_b32 s5, s1, s101
2713// CHECK: [0x01,0x65,0x05,0x8a]
2714
2715s_orn2_b32 s5, s1, flat_scratch_lo
2716// CHECK: [0x01,0x66,0x05,0x8a]
2717
2718s_orn2_b32 s5, s1, flat_scratch_hi
2719// CHECK: [0x01,0x67,0x05,0x8a]
2720
2721s_orn2_b32 s5, s1, vcc_lo
2722// CHECK: [0x01,0x6a,0x05,0x8a]
2723
2724s_orn2_b32 s5, s1, vcc_hi
2725// CHECK: [0x01,0x6b,0x05,0x8a]
2726
2727s_orn2_b32 s5, s1, ttmp15
2728// CHECK: [0x01,0x7b,0x05,0x8a]
2729
2730s_orn2_b32 s5, s1, m0
2731// CHECK: [0x01,0x7c,0x05,0x8a]
2732
2733s_orn2_b32 s5, s1, exec_lo
2734// CHECK: [0x01,0x7e,0x05,0x8a]
2735
2736s_orn2_b32 s5, s1, exec_hi
2737// CHECK: [0x01,0x7f,0x05,0x8a]
2738
2739s_orn2_b32 s5, s1, 0
2740// CHECK: [0x01,0x80,0x05,0x8a]
2741
2742s_orn2_b32 s5, s1, -1
2743// CHECK: [0x01,0xc1,0x05,0x8a]
2744
2745s_orn2_b32 s5, s1, 0.5
2746// CHECK: [0x01,0xf0,0x05,0x8a]
2747
2748s_orn2_b32 s5, s1, -4.0
2749// CHECK: [0x01,0xf7,0x05,0x8a]
2750
2751s_orn2_b32 s5, s1, src_vccz
2752// CHECK: [0x01,0xfb,0x05,0x8a]
2753
2754s_orn2_b32 s5, s1, src_execz
2755// CHECK: [0x01,0xfc,0x05,0x8a]
2756
2757s_orn2_b32 s5, s1, src_scc
2758// CHECK: [0x01,0xfd,0x05,0x8a]
2759
2760s_orn2_b32 s5, s1, 0xaf123456
2761// CHECK: [0x01,0xff,0x05,0x8a,0x56,0x34,0x12,0xaf]
2762
2763s_orn2_b32 s5, s1, 0x3f717273
2764// CHECK: [0x01,0xff,0x05,0x8a,0x73,0x72,0x71,0x3f]
2765
2766s_orn2_b64 s[10:11], s[2:3], s[4:5]
2767// CHECK: [0x02,0x04,0x8a,0x8a]
2768
2769s_orn2_b64 s[12:13], s[2:3], s[4:5]
2770// CHECK: [0x02,0x04,0x8c,0x8a]
2771
2772s_orn2_b64 s[100:101], s[2:3], s[4:5]
2773// CHECK: [0x02,0x04,0xe4,0x8a]
2774
2775s_orn2_b64 flat_scratch, s[2:3], s[4:5]
2776// CHECK: [0x02,0x04,0xe6,0x8a]
2777
2778s_orn2_b64 vcc, s[2:3], s[4:5]
2779// CHECK: [0x02,0x04,0xea,0x8a]
2780
2781s_orn2_b64 ttmp[14:15], s[2:3], s[4:5]
2782// CHECK: [0x02,0x04,0xfa,0x8a]
2783
2784s_orn2_b64 exec, s[2:3], s[4:5]
2785// CHECK: [0x02,0x04,0xfe,0x8a]
2786
2787s_orn2_b64 s[10:11], s[4:5], s[4:5]
2788// CHECK: [0x04,0x04,0x8a,0x8a]
2789
2790s_orn2_b64 s[10:11], s[100:101], s[4:5]
2791// CHECK: [0x64,0x04,0x8a,0x8a]
2792
2793s_orn2_b64 s[10:11], flat_scratch, s[4:5]
2794// CHECK: [0x66,0x04,0x8a,0x8a]
2795
2796s_orn2_b64 s[10:11], vcc, s[4:5]
2797// CHECK: [0x6a,0x04,0x8a,0x8a]
2798
2799s_orn2_b64 s[10:11], ttmp[14:15], s[4:5]
2800// CHECK: [0x7a,0x04,0x8a,0x8a]
2801
2802s_orn2_b64 s[10:11], exec, s[4:5]
2803// CHECK: [0x7e,0x04,0x8a,0x8a]
2804
2805s_orn2_b64 s[10:11], 0, s[4:5]
2806// CHECK: [0x80,0x04,0x8a,0x8a]
2807
2808s_orn2_b64 s[10:11], -1, s[4:5]
2809// CHECK: [0xc1,0x04,0x8a,0x8a]
2810
2811s_orn2_b64 s[10:11], 0.5, s[4:5]
2812// CHECK: [0xf0,0x04,0x8a,0x8a]
2813
2814s_orn2_b64 s[10:11], -4.0, s[4:5]
2815// CHECK: [0xf7,0x04,0x8a,0x8a]
2816
2817s_orn2_b64 s[10:11], src_vccz, s[4:5]
2818// CHECK: [0xfb,0x04,0x8a,0x8a]
2819
2820s_orn2_b64 s[10:11], src_execz, s[4:5]
2821// CHECK: [0xfc,0x04,0x8a,0x8a]
2822
2823s_orn2_b64 s[10:11], src_scc, s[4:5]
2824// CHECK: [0xfd,0x04,0x8a,0x8a]
2825
2826s_orn2_b64 s[10:11], 0xaf123456, s[4:5]
2827// CHECK: [0xff,0x04,0x8a,0x8a,0x56,0x34,0x12,0xaf]
2828
2829s_orn2_b64 s[10:11], 0x3f717273, s[4:5]
2830// CHECK: [0xff,0x04,0x8a,0x8a,0x73,0x72,0x71,0x3f]
2831
2832s_orn2_b64 s[10:11], s[2:3], s[6:7]
2833// CHECK: [0x02,0x06,0x8a,0x8a]
2834
2835s_orn2_b64 s[10:11], s[2:3], s[100:101]
2836// CHECK: [0x02,0x64,0x8a,0x8a]
2837
2838s_orn2_b64 s[10:11], s[2:3], flat_scratch
2839// CHECK: [0x02,0x66,0x8a,0x8a]
2840
2841s_orn2_b64 s[10:11], s[2:3], vcc
2842// CHECK: [0x02,0x6a,0x8a,0x8a]
2843
2844s_orn2_b64 s[10:11], s[2:3], ttmp[14:15]
2845// CHECK: [0x02,0x7a,0x8a,0x8a]
2846
2847s_orn2_b64 s[10:11], s[2:3], exec
2848// CHECK: [0x02,0x7e,0x8a,0x8a]
2849
2850s_orn2_b64 s[10:11], s[2:3], 0
2851// CHECK: [0x02,0x80,0x8a,0x8a]
2852
2853s_orn2_b64 s[10:11], s[2:3], -1
2854// CHECK: [0x02,0xc1,0x8a,0x8a]
2855
2856s_orn2_b64 s[10:11], s[2:3], 0.5
2857// CHECK: [0x02,0xf0,0x8a,0x8a]
2858
2859s_orn2_b64 s[10:11], s[2:3], -4.0
2860// CHECK: [0x02,0xf7,0x8a,0x8a]
2861
2862s_orn2_b64 s[10:11], s[2:3], src_vccz
2863// CHECK: [0x02,0xfb,0x8a,0x8a]
2864
2865s_orn2_b64 s[10:11], s[2:3], src_execz
2866// CHECK: [0x02,0xfc,0x8a,0x8a]
2867
2868s_orn2_b64 s[10:11], s[2:3], src_scc
2869// CHECK: [0x02,0xfd,0x8a,0x8a]
2870
2871s_orn2_b64 s[10:11], s[2:3], 0xaf123456
2872// CHECK: [0x02,0xff,0x8a,0x8a,0x56,0x34,0x12,0xaf]
2873
2874s_orn2_b64 s[10:11], s[2:3], 0x3f717273
2875// CHECK: [0x02,0xff,0x8a,0x8a,0x73,0x72,0x71,0x3f]
2876
2877s_nand_b32 s5, s1, s2
2878// CHECK: [0x01,0x02,0x05,0x8b]
2879
2880s_nand_b32 s101, s1, s2
2881// CHECK: [0x01,0x02,0x65,0x8b]
2882
2883s_nand_b32 flat_scratch_lo, s1, s2
2884// CHECK: [0x01,0x02,0x66,0x8b]
2885
2886s_nand_b32 flat_scratch_hi, s1, s2
2887// CHECK: [0x01,0x02,0x67,0x8b]
2888
2889s_nand_b32 vcc_lo, s1, s2
2890// CHECK: [0x01,0x02,0x6a,0x8b]
2891
2892s_nand_b32 vcc_hi, s1, s2
2893// CHECK: [0x01,0x02,0x6b,0x8b]
2894
2895s_nand_b32 ttmp15, s1, s2
2896// CHECK: [0x01,0x02,0x7b,0x8b]
2897
2898s_nand_b32 m0, s1, s2
2899// CHECK: [0x01,0x02,0x7c,0x8b]
2900
2901s_nand_b32 exec_lo, s1, s2
2902// CHECK: [0x01,0x02,0x7e,0x8b]
2903
2904s_nand_b32 exec_hi, s1, s2
2905// CHECK: [0x01,0x02,0x7f,0x8b]
2906
2907s_nand_b32 s5, s101, s2
2908// CHECK: [0x65,0x02,0x05,0x8b]
2909
2910s_nand_b32 s5, flat_scratch_lo, s2
2911// CHECK: [0x66,0x02,0x05,0x8b]
2912
2913s_nand_b32 s5, flat_scratch_hi, s2
2914// CHECK: [0x67,0x02,0x05,0x8b]
2915
2916s_nand_b32 s5, vcc_lo, s2
2917// CHECK: [0x6a,0x02,0x05,0x8b]
2918
2919s_nand_b32 s5, vcc_hi, s2
2920// CHECK: [0x6b,0x02,0x05,0x8b]
2921
2922s_nand_b32 s5, ttmp15, s2
2923// CHECK: [0x7b,0x02,0x05,0x8b]
2924
2925s_nand_b32 s5, m0, s2
2926// CHECK: [0x7c,0x02,0x05,0x8b]
2927
2928s_nand_b32 s5, exec_lo, s2
2929// CHECK: [0x7e,0x02,0x05,0x8b]
2930
2931s_nand_b32 s5, exec_hi, s2
2932// CHECK: [0x7f,0x02,0x05,0x8b]
2933
2934s_nand_b32 s5, 0, s2
2935// CHECK: [0x80,0x02,0x05,0x8b]
2936
2937s_nand_b32 s5, -1, s2
2938// CHECK: [0xc1,0x02,0x05,0x8b]
2939
2940s_nand_b32 s5, 0.5, s2
2941// CHECK: [0xf0,0x02,0x05,0x8b]
2942
2943s_nand_b32 s5, -4.0, s2
2944// CHECK: [0xf7,0x02,0x05,0x8b]
2945
2946s_nand_b32 s5, src_vccz, s2
2947// CHECK: [0xfb,0x02,0x05,0x8b]
2948
2949s_nand_b32 s5, src_execz, s2
2950// CHECK: [0xfc,0x02,0x05,0x8b]
2951
2952s_nand_b32 s5, src_scc, s2
2953// CHECK: [0xfd,0x02,0x05,0x8b]
2954
2955s_nand_b32 s5, 0xaf123456, s2
2956// CHECK: [0xff,0x02,0x05,0x8b,0x56,0x34,0x12,0xaf]
2957
2958s_nand_b32 s5, 0x3f717273, s2
2959// CHECK: [0xff,0x02,0x05,0x8b,0x73,0x72,0x71,0x3f]
2960
2961s_nand_b32 s5, s1, s101
2962// CHECK: [0x01,0x65,0x05,0x8b]
2963
2964s_nand_b32 s5, s1, flat_scratch_lo
2965// CHECK: [0x01,0x66,0x05,0x8b]
2966
2967s_nand_b32 s5, s1, flat_scratch_hi
2968// CHECK: [0x01,0x67,0x05,0x8b]
2969
2970s_nand_b32 s5, s1, vcc_lo
2971// CHECK: [0x01,0x6a,0x05,0x8b]
2972
2973s_nand_b32 s5, s1, vcc_hi
2974// CHECK: [0x01,0x6b,0x05,0x8b]
2975
2976s_nand_b32 s5, s1, ttmp15
2977// CHECK: [0x01,0x7b,0x05,0x8b]
2978
2979s_nand_b32 s5, s1, m0
2980// CHECK: [0x01,0x7c,0x05,0x8b]
2981
2982s_nand_b32 s5, s1, exec_lo
2983// CHECK: [0x01,0x7e,0x05,0x8b]
2984
2985s_nand_b32 s5, s1, exec_hi
2986// CHECK: [0x01,0x7f,0x05,0x8b]
2987
2988s_nand_b32 s5, s1, 0
2989// CHECK: [0x01,0x80,0x05,0x8b]
2990
2991s_nand_b32 s5, s1, -1
2992// CHECK: [0x01,0xc1,0x05,0x8b]
2993
2994s_nand_b32 s5, s1, 0.5
2995// CHECK: [0x01,0xf0,0x05,0x8b]
2996
2997s_nand_b32 s5, s1, -4.0
2998// CHECK: [0x01,0xf7,0x05,0x8b]
2999
3000s_nand_b32 s5, s1, src_vccz
3001// CHECK: [0x01,0xfb,0x05,0x8b]
3002
3003s_nand_b32 s5, s1, src_execz
3004// CHECK: [0x01,0xfc,0x05,0x8b]
3005
3006s_nand_b32 s5, s1, src_scc
3007// CHECK: [0x01,0xfd,0x05,0x8b]
3008
3009s_nand_b32 s5, s1, 0xaf123456
3010// CHECK: [0x01,0xff,0x05,0x8b,0x56,0x34,0x12,0xaf]
3011
3012s_nand_b32 s5, s1, 0x3f717273
3013// CHECK: [0x01,0xff,0x05,0x8b,0x73,0x72,0x71,0x3f]
3014
3015s_nand_b64 s[10:11], s[2:3], s[4:5]
3016// CHECK: [0x02,0x04,0x8a,0x8b]
3017
3018s_nand_b64 s[12:13], s[2:3], s[4:5]
3019// CHECK: [0x02,0x04,0x8c,0x8b]
3020
3021s_nand_b64 s[100:101], s[2:3], s[4:5]
3022// CHECK: [0x02,0x04,0xe4,0x8b]
3023
3024s_nand_b64 flat_scratch, s[2:3], s[4:5]
3025// CHECK: [0x02,0x04,0xe6,0x8b]
3026
3027s_nand_b64 vcc, s[2:3], s[4:5]
3028// CHECK: [0x02,0x04,0xea,0x8b]
3029
3030s_nand_b64 ttmp[14:15], s[2:3], s[4:5]
3031// CHECK: [0x02,0x04,0xfa,0x8b]
3032
3033s_nand_b64 exec, s[2:3], s[4:5]
3034// CHECK: [0x02,0x04,0xfe,0x8b]
3035
3036s_nand_b64 s[10:11], s[4:5], s[4:5]
3037// CHECK: [0x04,0x04,0x8a,0x8b]
3038
3039s_nand_b64 s[10:11], s[100:101], s[4:5]
3040// CHECK: [0x64,0x04,0x8a,0x8b]
3041
3042s_nand_b64 s[10:11], flat_scratch, s[4:5]
3043// CHECK: [0x66,0x04,0x8a,0x8b]
3044
3045s_nand_b64 s[10:11], vcc, s[4:5]
3046// CHECK: [0x6a,0x04,0x8a,0x8b]
3047
3048s_nand_b64 s[10:11], ttmp[14:15], s[4:5]
3049// CHECK: [0x7a,0x04,0x8a,0x8b]
3050
3051s_nand_b64 s[10:11], exec, s[4:5]
3052// CHECK: [0x7e,0x04,0x8a,0x8b]
3053
3054s_nand_b64 s[10:11], 0, s[4:5]
3055// CHECK: [0x80,0x04,0x8a,0x8b]
3056
3057s_nand_b64 s[10:11], -1, s[4:5]
3058// CHECK: [0xc1,0x04,0x8a,0x8b]
3059
3060s_nand_b64 s[10:11], 0.5, s[4:5]
3061// CHECK: [0xf0,0x04,0x8a,0x8b]
3062
3063s_nand_b64 s[10:11], -4.0, s[4:5]
3064// CHECK: [0xf7,0x04,0x8a,0x8b]
3065
3066s_nand_b64 s[10:11], src_vccz, s[4:5]
3067// CHECK: [0xfb,0x04,0x8a,0x8b]
3068
3069s_nand_b64 s[10:11], src_execz, s[4:5]
3070// CHECK: [0xfc,0x04,0x8a,0x8b]
3071
3072s_nand_b64 s[10:11], src_scc, s[4:5]
3073// CHECK: [0xfd,0x04,0x8a,0x8b]
3074
3075s_nand_b64 s[10:11], 0xaf123456, s[4:5]
3076// CHECK: [0xff,0x04,0x8a,0x8b,0x56,0x34,0x12,0xaf]
3077
3078s_nand_b64 s[10:11], 0x3f717273, s[4:5]
3079// CHECK: [0xff,0x04,0x8a,0x8b,0x73,0x72,0x71,0x3f]
3080
3081s_nand_b64 s[10:11], s[2:3], s[6:7]
3082// CHECK: [0x02,0x06,0x8a,0x8b]
3083
3084s_nand_b64 s[10:11], s[2:3], s[100:101]
3085// CHECK: [0x02,0x64,0x8a,0x8b]
3086
3087s_nand_b64 s[10:11], s[2:3], flat_scratch
3088// CHECK: [0x02,0x66,0x8a,0x8b]
3089
3090s_nand_b64 s[10:11], s[2:3], vcc
3091// CHECK: [0x02,0x6a,0x8a,0x8b]
3092
3093s_nand_b64 s[10:11], s[2:3], ttmp[14:15]
3094// CHECK: [0x02,0x7a,0x8a,0x8b]
3095
3096s_nand_b64 s[10:11], s[2:3], exec
3097// CHECK: [0x02,0x7e,0x8a,0x8b]
3098
3099s_nand_b64 s[10:11], s[2:3], 0
3100// CHECK: [0x02,0x80,0x8a,0x8b]
3101
3102s_nand_b64 s[10:11], s[2:3], -1
3103// CHECK: [0x02,0xc1,0x8a,0x8b]
3104
3105s_nand_b64 s[10:11], s[2:3], 0.5
3106// CHECK: [0x02,0xf0,0x8a,0x8b]
3107
3108s_nand_b64 s[10:11], s[2:3], -4.0
3109// CHECK: [0x02,0xf7,0x8a,0x8b]
3110
3111s_nand_b64 s[10:11], s[2:3], src_vccz
3112// CHECK: [0x02,0xfb,0x8a,0x8b]
3113
3114s_nand_b64 s[10:11], s[2:3], src_execz
3115// CHECK: [0x02,0xfc,0x8a,0x8b]
3116
3117s_nand_b64 s[10:11], s[2:3], src_scc
3118// CHECK: [0x02,0xfd,0x8a,0x8b]
3119
3120s_nand_b64 s[10:11], s[2:3], 0xaf123456
3121// CHECK: [0x02,0xff,0x8a,0x8b,0x56,0x34,0x12,0xaf]
3122
3123s_nand_b64 s[10:11], s[2:3], 0x3f717273
3124// CHECK: [0x02,0xff,0x8a,0x8b,0x73,0x72,0x71,0x3f]
3125
3126s_nor_b32 s5, s1, s2
3127// CHECK: [0x01,0x02,0x05,0x8c]
3128
3129s_nor_b32 s101, s1, s2
3130// CHECK: [0x01,0x02,0x65,0x8c]
3131
3132s_nor_b32 flat_scratch_lo, s1, s2
3133// CHECK: [0x01,0x02,0x66,0x8c]
3134
3135s_nor_b32 flat_scratch_hi, s1, s2
3136// CHECK: [0x01,0x02,0x67,0x8c]
3137
3138s_nor_b32 vcc_lo, s1, s2
3139// CHECK: [0x01,0x02,0x6a,0x8c]
3140
3141s_nor_b32 vcc_hi, s1, s2
3142// CHECK: [0x01,0x02,0x6b,0x8c]
3143
3144s_nor_b32 ttmp15, s1, s2
3145// CHECK: [0x01,0x02,0x7b,0x8c]
3146
3147s_nor_b32 m0, s1, s2
3148// CHECK: [0x01,0x02,0x7c,0x8c]
3149
3150s_nor_b32 exec_lo, s1, s2
3151// CHECK: [0x01,0x02,0x7e,0x8c]
3152
3153s_nor_b32 exec_hi, s1, s2
3154// CHECK: [0x01,0x02,0x7f,0x8c]
3155
3156s_nor_b32 s5, s101, s2
3157// CHECK: [0x65,0x02,0x05,0x8c]
3158
3159s_nor_b32 s5, flat_scratch_lo, s2
3160// CHECK: [0x66,0x02,0x05,0x8c]
3161
3162s_nor_b32 s5, flat_scratch_hi, s2
3163// CHECK: [0x67,0x02,0x05,0x8c]
3164
3165s_nor_b32 s5, vcc_lo, s2
3166// CHECK: [0x6a,0x02,0x05,0x8c]
3167
3168s_nor_b32 s5, vcc_hi, s2
3169// CHECK: [0x6b,0x02,0x05,0x8c]
3170
3171s_nor_b32 s5, ttmp15, s2
3172// CHECK: [0x7b,0x02,0x05,0x8c]
3173
3174s_nor_b32 s5, m0, s2
3175// CHECK: [0x7c,0x02,0x05,0x8c]
3176
3177s_nor_b32 s5, exec_lo, s2
3178// CHECK: [0x7e,0x02,0x05,0x8c]
3179
3180s_nor_b32 s5, exec_hi, s2
3181// CHECK: [0x7f,0x02,0x05,0x8c]
3182
3183s_nor_b32 s5, 0, s2
3184// CHECK: [0x80,0x02,0x05,0x8c]
3185
3186s_nor_b32 s5, -1, s2
3187// CHECK: [0xc1,0x02,0x05,0x8c]
3188
3189s_nor_b32 s5, 0.5, s2
3190// CHECK: [0xf0,0x02,0x05,0x8c]
3191
3192s_nor_b32 s5, -4.0, s2
3193// CHECK: [0xf7,0x02,0x05,0x8c]
3194
3195s_nor_b32 s5, src_vccz, s2
3196// CHECK: [0xfb,0x02,0x05,0x8c]
3197
3198s_nor_b32 s5, src_execz, s2
3199// CHECK: [0xfc,0x02,0x05,0x8c]
3200
3201s_nor_b32 s5, src_scc, s2
3202// CHECK: [0xfd,0x02,0x05,0x8c]
3203
3204s_nor_b32 s5, 0xaf123456, s2
3205// CHECK: [0xff,0x02,0x05,0x8c,0x56,0x34,0x12,0xaf]
3206
3207s_nor_b32 s5, 0x3f717273, s2
3208// CHECK: [0xff,0x02,0x05,0x8c,0x73,0x72,0x71,0x3f]
3209
3210s_nor_b32 s5, s1, s101
3211// CHECK: [0x01,0x65,0x05,0x8c]
3212
3213s_nor_b32 s5, s1, flat_scratch_lo
3214// CHECK: [0x01,0x66,0x05,0x8c]
3215
3216s_nor_b32 s5, s1, flat_scratch_hi
3217// CHECK: [0x01,0x67,0x05,0x8c]
3218
3219s_nor_b32 s5, s1, vcc_lo
3220// CHECK: [0x01,0x6a,0x05,0x8c]
3221
3222s_nor_b32 s5, s1, vcc_hi
3223// CHECK: [0x01,0x6b,0x05,0x8c]
3224
3225s_nor_b32 s5, s1, ttmp15
3226// CHECK: [0x01,0x7b,0x05,0x8c]
3227
3228s_nor_b32 s5, s1, m0
3229// CHECK: [0x01,0x7c,0x05,0x8c]
3230
3231s_nor_b32 s5, s1, exec_lo
3232// CHECK: [0x01,0x7e,0x05,0x8c]
3233
3234s_nor_b32 s5, s1, exec_hi
3235// CHECK: [0x01,0x7f,0x05,0x8c]
3236
3237s_nor_b32 s5, s1, 0
3238// CHECK: [0x01,0x80,0x05,0x8c]
3239
3240s_nor_b32 s5, s1, -1
3241// CHECK: [0x01,0xc1,0x05,0x8c]
3242
3243s_nor_b32 s5, s1, 0.5
3244// CHECK: [0x01,0xf0,0x05,0x8c]
3245
3246s_nor_b32 s5, s1, -4.0
3247// CHECK: [0x01,0xf7,0x05,0x8c]
3248
3249s_nor_b32 s5, s1, src_vccz
3250// CHECK: [0x01,0xfb,0x05,0x8c]
3251
3252s_nor_b32 s5, s1, src_execz
3253// CHECK: [0x01,0xfc,0x05,0x8c]
3254
3255s_nor_b32 s5, s1, src_scc
3256// CHECK: [0x01,0xfd,0x05,0x8c]
3257
3258s_nor_b32 s5, s1, 0xaf123456
3259// CHECK: [0x01,0xff,0x05,0x8c,0x56,0x34,0x12,0xaf]
3260
3261s_nor_b32 s5, s1, 0x3f717273
3262// CHECK: [0x01,0xff,0x05,0x8c,0x73,0x72,0x71,0x3f]
3263
3264s_nor_b64 s[10:11], s[2:3], s[4:5]
3265// CHECK: [0x02,0x04,0x8a,0x8c]
3266
3267s_nor_b64 s[12:13], s[2:3], s[4:5]
3268// CHECK: [0x02,0x04,0x8c,0x8c]
3269
3270s_nor_b64 s[100:101], s[2:3], s[4:5]
3271// CHECK: [0x02,0x04,0xe4,0x8c]
3272
3273s_nor_b64 flat_scratch, s[2:3], s[4:5]
3274// CHECK: [0x02,0x04,0xe6,0x8c]
3275
3276s_nor_b64 vcc, s[2:3], s[4:5]
3277// CHECK: [0x02,0x04,0xea,0x8c]
3278
3279s_nor_b64 ttmp[14:15], s[2:3], s[4:5]
3280// CHECK: [0x02,0x04,0xfa,0x8c]
3281
3282s_nor_b64 exec, s[2:3], s[4:5]
3283// CHECK: [0x02,0x04,0xfe,0x8c]
3284
3285s_nor_b64 s[10:11], s[4:5], s[4:5]
3286// CHECK: [0x04,0x04,0x8a,0x8c]
3287
3288s_nor_b64 s[10:11], s[100:101], s[4:5]
3289// CHECK: [0x64,0x04,0x8a,0x8c]
3290
3291s_nor_b64 s[10:11], flat_scratch, s[4:5]
3292// CHECK: [0x66,0x04,0x8a,0x8c]
3293
3294s_nor_b64 s[10:11], vcc, s[4:5]
3295// CHECK: [0x6a,0x04,0x8a,0x8c]
3296
3297s_nor_b64 s[10:11], ttmp[14:15], s[4:5]
3298// CHECK: [0x7a,0x04,0x8a,0x8c]
3299
3300s_nor_b64 s[10:11], exec, s[4:5]
3301// CHECK: [0x7e,0x04,0x8a,0x8c]
3302
3303s_nor_b64 s[10:11], 0, s[4:5]
3304// CHECK: [0x80,0x04,0x8a,0x8c]
3305
3306s_nor_b64 s[10:11], -1, s[4:5]
3307// CHECK: [0xc1,0x04,0x8a,0x8c]
3308
3309s_nor_b64 s[10:11], 0.5, s[4:5]
3310// CHECK: [0xf0,0x04,0x8a,0x8c]
3311
3312s_nor_b64 s[10:11], -4.0, s[4:5]
3313// CHECK: [0xf7,0x04,0x8a,0x8c]
3314
3315s_nor_b64 s[10:11], src_vccz, s[4:5]
3316// CHECK: [0xfb,0x04,0x8a,0x8c]
3317
3318s_nor_b64 s[10:11], src_execz, s[4:5]
3319// CHECK: [0xfc,0x04,0x8a,0x8c]
3320
3321s_nor_b64 s[10:11], src_scc, s[4:5]
3322// CHECK: [0xfd,0x04,0x8a,0x8c]
3323
3324s_nor_b64 s[10:11], 0xaf123456, s[4:5]
3325// CHECK: [0xff,0x04,0x8a,0x8c,0x56,0x34,0x12,0xaf]
3326
3327s_nor_b64 s[10:11], 0x3f717273, s[4:5]
3328// CHECK: [0xff,0x04,0x8a,0x8c,0x73,0x72,0x71,0x3f]
3329
3330s_nor_b64 s[10:11], s[2:3], s[6:7]
3331// CHECK: [0x02,0x06,0x8a,0x8c]
3332
3333s_nor_b64 s[10:11], s[2:3], s[100:101]
3334// CHECK: [0x02,0x64,0x8a,0x8c]
3335
3336s_nor_b64 s[10:11], s[2:3], flat_scratch
3337// CHECK: [0x02,0x66,0x8a,0x8c]
3338
3339s_nor_b64 s[10:11], s[2:3], vcc
3340// CHECK: [0x02,0x6a,0x8a,0x8c]
3341
3342s_nor_b64 s[10:11], s[2:3], ttmp[14:15]
3343// CHECK: [0x02,0x7a,0x8a,0x8c]
3344
3345s_nor_b64 s[10:11], s[2:3], exec
3346// CHECK: [0x02,0x7e,0x8a,0x8c]
3347
3348s_nor_b64 s[10:11], s[2:3], 0
3349// CHECK: [0x02,0x80,0x8a,0x8c]
3350
3351s_nor_b64 s[10:11], s[2:3], -1
3352// CHECK: [0x02,0xc1,0x8a,0x8c]
3353
3354s_nor_b64 s[10:11], s[2:3], 0.5
3355// CHECK: [0x02,0xf0,0x8a,0x8c]
3356
3357s_nor_b64 s[10:11], s[2:3], -4.0
3358// CHECK: [0x02,0xf7,0x8a,0x8c]
3359
3360s_nor_b64 s[10:11], s[2:3], src_vccz
3361// CHECK: [0x02,0xfb,0x8a,0x8c]
3362
3363s_nor_b64 s[10:11], s[2:3], src_execz
3364// CHECK: [0x02,0xfc,0x8a,0x8c]
3365
3366s_nor_b64 s[10:11], s[2:3], src_scc
3367// CHECK: [0x02,0xfd,0x8a,0x8c]
3368
3369s_nor_b64 s[10:11], s[2:3], 0xaf123456
3370// CHECK: [0x02,0xff,0x8a,0x8c,0x56,0x34,0x12,0xaf]
3371
3372s_nor_b64 s[10:11], s[2:3], 0x3f717273
3373// CHECK: [0x02,0xff,0x8a,0x8c,0x73,0x72,0x71,0x3f]
3374
3375s_xnor_b32 s5, s1, s2
3376// CHECK: [0x01,0x02,0x05,0x8d]
3377
3378s_xnor_b32 s101, s1, s2
3379// CHECK: [0x01,0x02,0x65,0x8d]
3380
3381s_xnor_b32 flat_scratch_lo, s1, s2
3382// CHECK: [0x01,0x02,0x66,0x8d]
3383
3384s_xnor_b32 flat_scratch_hi, s1, s2
3385// CHECK: [0x01,0x02,0x67,0x8d]
3386
3387s_xnor_b32 vcc_lo, s1, s2
3388// CHECK: [0x01,0x02,0x6a,0x8d]
3389
3390s_xnor_b32 vcc_hi, s1, s2
3391// CHECK: [0x01,0x02,0x6b,0x8d]
3392
3393s_xnor_b32 ttmp15, s1, s2
3394// CHECK: [0x01,0x02,0x7b,0x8d]
3395
3396s_xnor_b32 m0, s1, s2
3397// CHECK: [0x01,0x02,0x7c,0x8d]
3398
3399s_xnor_b32 exec_lo, s1, s2
3400// CHECK: [0x01,0x02,0x7e,0x8d]
3401
3402s_xnor_b32 exec_hi, s1, s2
3403// CHECK: [0x01,0x02,0x7f,0x8d]
3404
3405s_xnor_b32 s5, s101, s2
3406// CHECK: [0x65,0x02,0x05,0x8d]
3407
3408s_xnor_b32 s5, flat_scratch_lo, s2
3409// CHECK: [0x66,0x02,0x05,0x8d]
3410
3411s_xnor_b32 s5, flat_scratch_hi, s2
3412// CHECK: [0x67,0x02,0x05,0x8d]
3413
3414s_xnor_b32 s5, vcc_lo, s2
3415// CHECK: [0x6a,0x02,0x05,0x8d]
3416
3417s_xnor_b32 s5, vcc_hi, s2
3418// CHECK: [0x6b,0x02,0x05,0x8d]
3419
3420s_xnor_b32 s5, ttmp15, s2
3421// CHECK: [0x7b,0x02,0x05,0x8d]
3422
3423s_xnor_b32 s5, m0, s2
3424// CHECK: [0x7c,0x02,0x05,0x8d]
3425
3426s_xnor_b32 s5, exec_lo, s2
3427// CHECK: [0x7e,0x02,0x05,0x8d]
3428
3429s_xnor_b32 s5, exec_hi, s2
3430// CHECK: [0x7f,0x02,0x05,0x8d]
3431
3432s_xnor_b32 s5, 0, s2
3433// CHECK: [0x80,0x02,0x05,0x8d]
3434
3435s_xnor_b32 s5, -1, s2
3436// CHECK: [0xc1,0x02,0x05,0x8d]
3437
3438s_xnor_b32 s5, 0.5, s2
3439// CHECK: [0xf0,0x02,0x05,0x8d]
3440
3441s_xnor_b32 s5, -4.0, s2
3442// CHECK: [0xf7,0x02,0x05,0x8d]
3443
3444s_xnor_b32 s5, src_vccz, s2
3445// CHECK: [0xfb,0x02,0x05,0x8d]
3446
3447s_xnor_b32 s5, src_execz, s2
3448// CHECK: [0xfc,0x02,0x05,0x8d]
3449
3450s_xnor_b32 s5, src_scc, s2
3451// CHECK: [0xfd,0x02,0x05,0x8d]
3452
3453s_xnor_b32 s5, 0xaf123456, s2
3454// CHECK: [0xff,0x02,0x05,0x8d,0x56,0x34,0x12,0xaf]
3455
3456s_xnor_b32 s5, 0x3f717273, s2
3457// CHECK: [0xff,0x02,0x05,0x8d,0x73,0x72,0x71,0x3f]
3458
3459s_xnor_b32 s5, s1, s101
3460// CHECK: [0x01,0x65,0x05,0x8d]
3461
3462s_xnor_b32 s5, s1, flat_scratch_lo
3463// CHECK: [0x01,0x66,0x05,0x8d]
3464
3465s_xnor_b32 s5, s1, flat_scratch_hi
3466// CHECK: [0x01,0x67,0x05,0x8d]
3467
3468s_xnor_b32 s5, s1, vcc_lo
3469// CHECK: [0x01,0x6a,0x05,0x8d]
3470
3471s_xnor_b32 s5, s1, vcc_hi
3472// CHECK: [0x01,0x6b,0x05,0x8d]
3473
3474s_xnor_b32 s5, s1, ttmp15
3475// CHECK: [0x01,0x7b,0x05,0x8d]
3476
3477s_xnor_b32 s5, s1, m0
3478// CHECK: [0x01,0x7c,0x05,0x8d]
3479
3480s_xnor_b32 s5, s1, exec_lo
3481// CHECK: [0x01,0x7e,0x05,0x8d]
3482
3483s_xnor_b32 s5, s1, exec_hi
3484// CHECK: [0x01,0x7f,0x05,0x8d]
3485
3486s_xnor_b32 s5, s1, 0
3487// CHECK: [0x01,0x80,0x05,0x8d]
3488
3489s_xnor_b32 s5, s1, -1
3490// CHECK: [0x01,0xc1,0x05,0x8d]
3491
3492s_xnor_b32 s5, s1, 0.5
3493// CHECK: [0x01,0xf0,0x05,0x8d]
3494
3495s_xnor_b32 s5, s1, -4.0
3496// CHECK: [0x01,0xf7,0x05,0x8d]
3497
3498s_xnor_b32 s5, s1, src_vccz
3499// CHECK: [0x01,0xfb,0x05,0x8d]
3500
3501s_xnor_b32 s5, s1, src_execz
3502// CHECK: [0x01,0xfc,0x05,0x8d]
3503
3504s_xnor_b32 s5, s1, src_scc
3505// CHECK: [0x01,0xfd,0x05,0x8d]
3506
3507s_xnor_b32 s5, s1, 0xaf123456
3508// CHECK: [0x01,0xff,0x05,0x8d,0x56,0x34,0x12,0xaf]
3509
3510s_xnor_b32 s5, s1, 0x3f717273
3511// CHECK: [0x01,0xff,0x05,0x8d,0x73,0x72,0x71,0x3f]
3512
3513s_xnor_b64 s[10:11], s[2:3], s[4:5]
3514// CHECK: [0x02,0x04,0x8a,0x8d]
3515
3516s_xnor_b64 s[12:13], s[2:3], s[4:5]
3517// CHECK: [0x02,0x04,0x8c,0x8d]
3518
3519s_xnor_b64 s[100:101], s[2:3], s[4:5]
3520// CHECK: [0x02,0x04,0xe4,0x8d]
3521
3522s_xnor_b64 flat_scratch, s[2:3], s[4:5]
3523// CHECK: [0x02,0x04,0xe6,0x8d]
3524
3525s_xnor_b64 vcc, s[2:3], s[4:5]
3526// CHECK: [0x02,0x04,0xea,0x8d]
3527
3528s_xnor_b64 ttmp[14:15], s[2:3], s[4:5]
3529// CHECK: [0x02,0x04,0xfa,0x8d]
3530
3531s_xnor_b64 exec, s[2:3], s[4:5]
3532// CHECK: [0x02,0x04,0xfe,0x8d]
3533
3534s_xnor_b64 s[10:11], s[4:5], s[4:5]
3535// CHECK: [0x04,0x04,0x8a,0x8d]
3536
3537s_xnor_b64 s[10:11], s[100:101], s[4:5]
3538// CHECK: [0x64,0x04,0x8a,0x8d]
3539
3540s_xnor_b64 s[10:11], flat_scratch, s[4:5]
3541// CHECK: [0x66,0x04,0x8a,0x8d]
3542
3543s_xnor_b64 s[10:11], vcc, s[4:5]
3544// CHECK: [0x6a,0x04,0x8a,0x8d]
3545
3546s_xnor_b64 s[10:11], ttmp[14:15], s[4:5]
3547// CHECK: [0x7a,0x04,0x8a,0x8d]
3548
3549s_xnor_b64 s[10:11], exec, s[4:5]
3550// CHECK: [0x7e,0x04,0x8a,0x8d]
3551
3552s_xnor_b64 s[10:11], 0, s[4:5]
3553// CHECK: [0x80,0x04,0x8a,0x8d]
3554
3555s_xnor_b64 s[10:11], -1, s[4:5]
3556// CHECK: [0xc1,0x04,0x8a,0x8d]
3557
3558s_xnor_b64 s[10:11], 0.5, s[4:5]
3559// CHECK: [0xf0,0x04,0x8a,0x8d]
3560
3561s_xnor_b64 s[10:11], -4.0, s[4:5]
3562// CHECK: [0xf7,0x04,0x8a,0x8d]
3563
3564s_xnor_b64 s[10:11], src_vccz, s[4:5]
3565// CHECK: [0xfb,0x04,0x8a,0x8d]
3566
3567s_xnor_b64 s[10:11], src_execz, s[4:5]
3568// CHECK: [0xfc,0x04,0x8a,0x8d]
3569
3570s_xnor_b64 s[10:11], src_scc, s[4:5]
3571// CHECK: [0xfd,0x04,0x8a,0x8d]
3572
3573s_xnor_b64 s[10:11], 0xaf123456, s[4:5]
3574// CHECK: [0xff,0x04,0x8a,0x8d,0x56,0x34,0x12,0xaf]
3575
3576s_xnor_b64 s[10:11], 0x3f717273, s[4:5]
3577// CHECK: [0xff,0x04,0x8a,0x8d,0x73,0x72,0x71,0x3f]
3578
3579s_xnor_b64 s[10:11], s[2:3], s[6:7]
3580// CHECK: [0x02,0x06,0x8a,0x8d]
3581
3582s_xnor_b64 s[10:11], s[2:3], s[100:101]
3583// CHECK: [0x02,0x64,0x8a,0x8d]
3584
3585s_xnor_b64 s[10:11], s[2:3], flat_scratch
3586// CHECK: [0x02,0x66,0x8a,0x8d]
3587
3588s_xnor_b64 s[10:11], s[2:3], vcc
3589// CHECK: [0x02,0x6a,0x8a,0x8d]
3590
3591s_xnor_b64 s[10:11], s[2:3], ttmp[14:15]
3592// CHECK: [0x02,0x7a,0x8a,0x8d]
3593
3594s_xnor_b64 s[10:11], s[2:3], exec
3595// CHECK: [0x02,0x7e,0x8a,0x8d]
3596
3597s_xnor_b64 s[10:11], s[2:3], 0
3598// CHECK: [0x02,0x80,0x8a,0x8d]
3599
3600s_xnor_b64 s[10:11], s[2:3], -1
3601// CHECK: [0x02,0xc1,0x8a,0x8d]
3602
3603s_xnor_b64 s[10:11], s[2:3], 0.5
3604// CHECK: [0x02,0xf0,0x8a,0x8d]
3605
3606s_xnor_b64 s[10:11], s[2:3], -4.0
3607// CHECK: [0x02,0xf7,0x8a,0x8d]
3608
3609s_xnor_b64 s[10:11], s[2:3], src_vccz
3610// CHECK: [0x02,0xfb,0x8a,0x8d]
3611
3612s_xnor_b64 s[10:11], s[2:3], src_execz
3613// CHECK: [0x02,0xfc,0x8a,0x8d]
3614
3615s_xnor_b64 s[10:11], s[2:3], src_scc
3616// CHECK: [0x02,0xfd,0x8a,0x8d]
3617
3618s_xnor_b64 s[10:11], s[2:3], 0xaf123456
3619// CHECK: [0x02,0xff,0x8a,0x8d,0x56,0x34,0x12,0xaf]
3620
3621s_xnor_b64 s[10:11], s[2:3], 0x3f717273
3622// CHECK: [0x02,0xff,0x8a,0x8d,0x73,0x72,0x71,0x3f]
3623
3624s_lshl_b32 s5, s1, s2
3625// CHECK: [0x01,0x02,0x05,0x8e]
3626
3627s_lshl_b32 s101, s1, s2
3628// CHECK: [0x01,0x02,0x65,0x8e]
3629
3630s_lshl_b32 flat_scratch_lo, s1, s2
3631// CHECK: [0x01,0x02,0x66,0x8e]
3632
3633s_lshl_b32 flat_scratch_hi, s1, s2
3634// CHECK: [0x01,0x02,0x67,0x8e]
3635
3636s_lshl_b32 vcc_lo, s1, s2
3637// CHECK: [0x01,0x02,0x6a,0x8e]
3638
3639s_lshl_b32 vcc_hi, s1, s2
3640// CHECK: [0x01,0x02,0x6b,0x8e]
3641
3642s_lshl_b32 ttmp15, s1, s2
3643// CHECK: [0x01,0x02,0x7b,0x8e]
3644
3645s_lshl_b32 m0, s1, s2
3646// CHECK: [0x01,0x02,0x7c,0x8e]
3647
3648s_lshl_b32 exec_lo, s1, s2
3649// CHECK: [0x01,0x02,0x7e,0x8e]
3650
3651s_lshl_b32 exec_hi, s1, s2
3652// CHECK: [0x01,0x02,0x7f,0x8e]
3653
3654s_lshl_b32 s5, s101, s2
3655// CHECK: [0x65,0x02,0x05,0x8e]
3656
3657s_lshl_b32 s5, flat_scratch_lo, s2
3658// CHECK: [0x66,0x02,0x05,0x8e]
3659
3660s_lshl_b32 s5, flat_scratch_hi, s2
3661// CHECK: [0x67,0x02,0x05,0x8e]
3662
3663s_lshl_b32 s5, vcc_lo, s2
3664// CHECK: [0x6a,0x02,0x05,0x8e]
3665
3666s_lshl_b32 s5, vcc_hi, s2
3667// CHECK: [0x6b,0x02,0x05,0x8e]
3668
3669s_lshl_b32 s5, ttmp15, s2
3670// CHECK: [0x7b,0x02,0x05,0x8e]
3671
3672s_lshl_b32 s5, m0, s2
3673// CHECK: [0x7c,0x02,0x05,0x8e]
3674
3675s_lshl_b32 s5, exec_lo, s2
3676// CHECK: [0x7e,0x02,0x05,0x8e]
3677
3678s_lshl_b32 s5, exec_hi, s2
3679// CHECK: [0x7f,0x02,0x05,0x8e]
3680
3681s_lshl_b32 s5, 0, s2
3682// CHECK: [0x80,0x02,0x05,0x8e]
3683
3684s_lshl_b32 s5, -1, s2
3685// CHECK: [0xc1,0x02,0x05,0x8e]
3686
3687s_lshl_b32 s5, 0.5, s2
3688// CHECK: [0xf0,0x02,0x05,0x8e]
3689
3690s_lshl_b32 s5, -4.0, s2
3691// CHECK: [0xf7,0x02,0x05,0x8e]
3692
3693s_lshl_b32 s5, src_vccz, s2
3694// CHECK: [0xfb,0x02,0x05,0x8e]
3695
3696s_lshl_b32 s5, src_execz, s2
3697// CHECK: [0xfc,0x02,0x05,0x8e]
3698
3699s_lshl_b32 s5, src_scc, s2
3700// CHECK: [0xfd,0x02,0x05,0x8e]
3701
3702s_lshl_b32 s5, 0xaf123456, s2
3703// CHECK: [0xff,0x02,0x05,0x8e,0x56,0x34,0x12,0xaf]
3704
3705s_lshl_b32 s5, 0x3f717273, s2
3706// CHECK: [0xff,0x02,0x05,0x8e,0x73,0x72,0x71,0x3f]
3707
3708s_lshl_b32 s5, s1, s101
3709// CHECK: [0x01,0x65,0x05,0x8e]
3710
3711s_lshl_b32 s5, s1, flat_scratch_lo
3712// CHECK: [0x01,0x66,0x05,0x8e]
3713
3714s_lshl_b32 s5, s1, flat_scratch_hi
3715// CHECK: [0x01,0x67,0x05,0x8e]
3716
3717s_lshl_b32 s5, s1, vcc_lo
3718// CHECK: [0x01,0x6a,0x05,0x8e]
3719
3720s_lshl_b32 s5, s1, vcc_hi
3721// CHECK: [0x01,0x6b,0x05,0x8e]
3722
3723s_lshl_b32 s5, s1, ttmp15
3724// CHECK: [0x01,0x7b,0x05,0x8e]
3725
3726s_lshl_b32 s5, s1, m0
3727// CHECK: [0x01,0x7c,0x05,0x8e]
3728
3729s_lshl_b32 s5, s1, exec_lo
3730// CHECK: [0x01,0x7e,0x05,0x8e]
3731
3732s_lshl_b32 s5, s1, exec_hi
3733// CHECK: [0x01,0x7f,0x05,0x8e]
3734
3735s_lshl_b32 s5, s1, 0
3736// CHECK: [0x01,0x80,0x05,0x8e]
3737
3738s_lshl_b32 s5, s1, -1
3739// CHECK: [0x01,0xc1,0x05,0x8e]
3740
3741s_lshl_b32 s5, s1, 0.5
3742// CHECK: [0x01,0xf0,0x05,0x8e]
3743
3744s_lshl_b32 s5, s1, -4.0
3745// CHECK: [0x01,0xf7,0x05,0x8e]
3746
3747s_lshl_b32 s5, s1, src_vccz
3748// CHECK: [0x01,0xfb,0x05,0x8e]
3749
3750s_lshl_b32 s5, s1, src_execz
3751// CHECK: [0x01,0xfc,0x05,0x8e]
3752
3753s_lshl_b32 s5, s1, src_scc
3754// CHECK: [0x01,0xfd,0x05,0x8e]
3755
3756s_lshl_b32 s5, s1, 0xaf123456
3757// CHECK: [0x01,0xff,0x05,0x8e,0x56,0x34,0x12,0xaf]
3758
3759s_lshl_b32 s5, s1, 0x3f717273
3760// CHECK: [0x01,0xff,0x05,0x8e,0x73,0x72,0x71,0x3f]
3761
3762s_lshl_b64 s[10:11], s[2:3], s2
3763// CHECK: [0x02,0x02,0x8a,0x8e]
3764
3765s_lshl_b64 s[12:13], s[2:3], s2
3766// CHECK: [0x02,0x02,0x8c,0x8e]
3767
3768s_lshl_b64 s[100:101], s[2:3], s2
3769// CHECK: [0x02,0x02,0xe4,0x8e]
3770
3771s_lshl_b64 flat_scratch, s[2:3], s2
3772// CHECK: [0x02,0x02,0xe6,0x8e]
3773
3774s_lshl_b64 vcc, s[2:3], s2
3775// CHECK: [0x02,0x02,0xea,0x8e]
3776
3777s_lshl_b64 ttmp[14:15], s[2:3], s2
3778// CHECK: [0x02,0x02,0xfa,0x8e]
3779
3780s_lshl_b64 exec, s[2:3], s2
3781// CHECK: [0x02,0x02,0xfe,0x8e]
3782
3783s_lshl_b64 s[10:11], s[4:5], s2
3784// CHECK: [0x04,0x02,0x8a,0x8e]
3785
3786s_lshl_b64 s[10:11], s[100:101], s2
3787// CHECK: [0x64,0x02,0x8a,0x8e]
3788
3789s_lshl_b64 s[10:11], flat_scratch, s2
3790// CHECK: [0x66,0x02,0x8a,0x8e]
3791
3792s_lshl_b64 s[10:11], vcc, s2
3793// CHECK: [0x6a,0x02,0x8a,0x8e]
3794
3795s_lshl_b64 s[10:11], ttmp[14:15], s2
3796// CHECK: [0x7a,0x02,0x8a,0x8e]
3797
3798s_lshl_b64 s[10:11], exec, s2
3799// CHECK: [0x7e,0x02,0x8a,0x8e]
3800
3801s_lshl_b64 s[10:11], 0, s2
3802// CHECK: [0x80,0x02,0x8a,0x8e]
3803
3804s_lshl_b64 s[10:11], -1, s2
3805// CHECK: [0xc1,0x02,0x8a,0x8e]
3806
3807s_lshl_b64 s[10:11], 0.5, s2
3808// CHECK: [0xf0,0x02,0x8a,0x8e]
3809
3810s_lshl_b64 s[10:11], -4.0, s2
3811// CHECK: [0xf7,0x02,0x8a,0x8e]
3812
3813s_lshl_b64 s[10:11], src_vccz, s2
3814// CHECK: [0xfb,0x02,0x8a,0x8e]
3815
3816s_lshl_b64 s[10:11], src_execz, s2
3817// CHECK: [0xfc,0x02,0x8a,0x8e]
3818
3819s_lshl_b64 s[10:11], src_scc, s2
3820// CHECK: [0xfd,0x02,0x8a,0x8e]
3821
3822s_lshl_b64 s[10:11], 0xaf123456, s2
3823// CHECK: [0xff,0x02,0x8a,0x8e,0x56,0x34,0x12,0xaf]
3824
3825s_lshl_b64 s[10:11], 0x3f717273, s2
3826// CHECK: [0xff,0x02,0x8a,0x8e,0x73,0x72,0x71,0x3f]
3827
3828s_lshl_b64 s[10:11], s[2:3], s101
3829// CHECK: [0x02,0x65,0x8a,0x8e]
3830
3831s_lshl_b64 s[10:11], s[2:3], flat_scratch_lo
3832// CHECK: [0x02,0x66,0x8a,0x8e]
3833
3834s_lshl_b64 s[10:11], s[2:3], flat_scratch_hi
3835// CHECK: [0x02,0x67,0x8a,0x8e]
3836
3837s_lshl_b64 s[10:11], s[2:3], vcc_lo
3838// CHECK: [0x02,0x6a,0x8a,0x8e]
3839
3840s_lshl_b64 s[10:11], s[2:3], vcc_hi
3841// CHECK: [0x02,0x6b,0x8a,0x8e]
3842
3843s_lshl_b64 s[10:11], s[2:3], ttmp15
3844// CHECK: [0x02,0x7b,0x8a,0x8e]
3845
3846s_lshl_b64 s[10:11], s[2:3], m0
3847// CHECK: [0x02,0x7c,0x8a,0x8e]
3848
3849s_lshl_b64 s[10:11], s[2:3], exec_lo
3850// CHECK: [0x02,0x7e,0x8a,0x8e]
3851
3852s_lshl_b64 s[10:11], s[2:3], exec_hi
3853// CHECK: [0x02,0x7f,0x8a,0x8e]
3854
3855s_lshl_b64 s[10:11], s[2:3], 0
3856// CHECK: [0x02,0x80,0x8a,0x8e]
3857
3858s_lshl_b64 s[10:11], s[2:3], -1
3859// CHECK: [0x02,0xc1,0x8a,0x8e]
3860
3861s_lshl_b64 s[10:11], s[2:3], 0.5
3862// CHECK: [0x02,0xf0,0x8a,0x8e]
3863
3864s_lshl_b64 s[10:11], s[2:3], -4.0
3865// CHECK: [0x02,0xf7,0x8a,0x8e]
3866
3867s_lshl_b64 s[10:11], s[2:3], src_vccz
3868// CHECK: [0x02,0xfb,0x8a,0x8e]
3869
3870s_lshl_b64 s[10:11], s[2:3], src_execz
3871// CHECK: [0x02,0xfc,0x8a,0x8e]
3872
3873s_lshl_b64 s[10:11], s[2:3], src_scc
3874// CHECK: [0x02,0xfd,0x8a,0x8e]
3875
3876s_lshl_b64 s[10:11], s[2:3], 0xaf123456
3877// CHECK: [0x02,0xff,0x8a,0x8e,0x56,0x34,0x12,0xaf]
3878
3879s_lshl_b64 s[10:11], s[2:3], 0x3f717273
3880// CHECK: [0x02,0xff,0x8a,0x8e,0x73,0x72,0x71,0x3f]
3881
3882s_lshr_b32 s5, s1, s2
3883// CHECK: [0x01,0x02,0x05,0x8f]
3884
3885s_lshr_b32 s101, s1, s2
3886// CHECK: [0x01,0x02,0x65,0x8f]
3887
3888s_lshr_b32 flat_scratch_lo, s1, s2
3889// CHECK: [0x01,0x02,0x66,0x8f]
3890
3891s_lshr_b32 flat_scratch_hi, s1, s2
3892// CHECK: [0x01,0x02,0x67,0x8f]
3893
3894s_lshr_b32 vcc_lo, s1, s2
3895// CHECK: [0x01,0x02,0x6a,0x8f]
3896
3897s_lshr_b32 vcc_hi, s1, s2
3898// CHECK: [0x01,0x02,0x6b,0x8f]
3899
3900s_lshr_b32 ttmp15, s1, s2
3901// CHECK: [0x01,0x02,0x7b,0x8f]
3902
3903s_lshr_b32 m0, s1, s2
3904// CHECK: [0x01,0x02,0x7c,0x8f]
3905
3906s_lshr_b32 exec_lo, s1, s2
3907// CHECK: [0x01,0x02,0x7e,0x8f]
3908
3909s_lshr_b32 exec_hi, s1, s2
3910// CHECK: [0x01,0x02,0x7f,0x8f]
3911
3912s_lshr_b32 s5, s101, s2
3913// CHECK: [0x65,0x02,0x05,0x8f]
3914
3915s_lshr_b32 s5, flat_scratch_lo, s2
3916// CHECK: [0x66,0x02,0x05,0x8f]
3917
3918s_lshr_b32 s5, flat_scratch_hi, s2
3919// CHECK: [0x67,0x02,0x05,0x8f]
3920
3921s_lshr_b32 s5, vcc_lo, s2
3922// CHECK: [0x6a,0x02,0x05,0x8f]
3923
3924s_lshr_b32 s5, vcc_hi, s2
3925// CHECK: [0x6b,0x02,0x05,0x8f]
3926
3927s_lshr_b32 s5, ttmp15, s2
3928// CHECK: [0x7b,0x02,0x05,0x8f]
3929
3930s_lshr_b32 s5, m0, s2
3931// CHECK: [0x7c,0x02,0x05,0x8f]
3932
3933s_lshr_b32 s5, exec_lo, s2
3934// CHECK: [0x7e,0x02,0x05,0x8f]
3935
3936s_lshr_b32 s5, exec_hi, s2
3937// CHECK: [0x7f,0x02,0x05,0x8f]
3938
3939s_lshr_b32 s5, 0, s2
3940// CHECK: [0x80,0x02,0x05,0x8f]
3941
3942s_lshr_b32 s5, -1, s2
3943// CHECK: [0xc1,0x02,0x05,0x8f]
3944
3945s_lshr_b32 s5, 0.5, s2
3946// CHECK: [0xf0,0x02,0x05,0x8f]
3947
3948s_lshr_b32 s5, -4.0, s2
3949// CHECK: [0xf7,0x02,0x05,0x8f]
3950
3951s_lshr_b32 s5, src_vccz, s2
3952// CHECK: [0xfb,0x02,0x05,0x8f]
3953
3954s_lshr_b32 s5, src_execz, s2
3955// CHECK: [0xfc,0x02,0x05,0x8f]
3956
3957s_lshr_b32 s5, src_scc, s2
3958// CHECK: [0xfd,0x02,0x05,0x8f]
3959
3960s_lshr_b32 s5, 0xaf123456, s2
3961// CHECK: [0xff,0x02,0x05,0x8f,0x56,0x34,0x12,0xaf]
3962
3963s_lshr_b32 s5, 0x3f717273, s2
3964// CHECK: [0xff,0x02,0x05,0x8f,0x73,0x72,0x71,0x3f]
3965
3966s_lshr_b32 s5, s1, s101
3967// CHECK: [0x01,0x65,0x05,0x8f]
3968
3969s_lshr_b32 s5, s1, flat_scratch_lo
3970// CHECK: [0x01,0x66,0x05,0x8f]
3971
3972s_lshr_b32 s5, s1, flat_scratch_hi
3973// CHECK: [0x01,0x67,0x05,0x8f]
3974
3975s_lshr_b32 s5, s1, vcc_lo
3976// CHECK: [0x01,0x6a,0x05,0x8f]
3977
3978s_lshr_b32 s5, s1, vcc_hi
3979// CHECK: [0x01,0x6b,0x05,0x8f]
3980
3981s_lshr_b32 s5, s1, ttmp15
3982// CHECK: [0x01,0x7b,0x05,0x8f]
3983
3984s_lshr_b32 s5, s1, m0
3985// CHECK: [0x01,0x7c,0x05,0x8f]
3986
3987s_lshr_b32 s5, s1, exec_lo
3988// CHECK: [0x01,0x7e,0x05,0x8f]
3989
3990s_lshr_b32 s5, s1, exec_hi
3991// CHECK: [0x01,0x7f,0x05,0x8f]
3992
3993s_lshr_b32 s5, s1, 0
3994// CHECK: [0x01,0x80,0x05,0x8f]
3995
3996s_lshr_b32 s5, s1, -1
3997// CHECK: [0x01,0xc1,0x05,0x8f]
3998
3999s_lshr_b32 s5, s1, 0.5
4000// CHECK: [0x01,0xf0,0x05,0x8f]
4001
4002s_lshr_b32 s5, s1, -4.0
4003// CHECK: [0x01,0xf7,0x05,0x8f]
4004
4005s_lshr_b32 s5, s1, src_vccz
4006// CHECK: [0x01,0xfb,0x05,0x8f]
4007
4008s_lshr_b32 s5, s1, src_execz
4009// CHECK: [0x01,0xfc,0x05,0x8f]
4010
4011s_lshr_b32 s5, s1, src_scc
4012// CHECK: [0x01,0xfd,0x05,0x8f]
4013
4014s_lshr_b32 s5, s1, 0xaf123456
4015// CHECK: [0x01,0xff,0x05,0x8f,0x56,0x34,0x12,0xaf]
4016
4017s_lshr_b32 s5, s1, 0x3f717273
4018// CHECK: [0x01,0xff,0x05,0x8f,0x73,0x72,0x71,0x3f]
4019
4020s_lshr_b64 s[10:11], s[2:3], s2
4021// CHECK: [0x02,0x02,0x8a,0x8f]
4022
4023s_lshr_b64 s[12:13], s[2:3], s2
4024// CHECK: [0x02,0x02,0x8c,0x8f]
4025
4026s_lshr_b64 s[100:101], s[2:3], s2
4027// CHECK: [0x02,0x02,0xe4,0x8f]
4028
4029s_lshr_b64 flat_scratch, s[2:3], s2
4030// CHECK: [0x02,0x02,0xe6,0x8f]
4031
4032s_lshr_b64 vcc, s[2:3], s2
4033// CHECK: [0x02,0x02,0xea,0x8f]
4034
4035s_lshr_b64 ttmp[14:15], s[2:3], s2
4036// CHECK: [0x02,0x02,0xfa,0x8f]
4037
4038s_lshr_b64 exec, s[2:3], s2
4039// CHECK: [0x02,0x02,0xfe,0x8f]
4040
4041s_lshr_b64 s[10:11], s[4:5], s2
4042// CHECK: [0x04,0x02,0x8a,0x8f]
4043
4044s_lshr_b64 s[10:11], s[100:101], s2
4045// CHECK: [0x64,0x02,0x8a,0x8f]
4046
4047s_lshr_b64 s[10:11], flat_scratch, s2
4048// CHECK: [0x66,0x02,0x8a,0x8f]
4049
4050s_lshr_b64 s[10:11], vcc, s2
4051// CHECK: [0x6a,0x02,0x8a,0x8f]
4052
4053s_lshr_b64 s[10:11], ttmp[14:15], s2
4054// CHECK: [0x7a,0x02,0x8a,0x8f]
4055
4056s_lshr_b64 s[10:11], exec, s2
4057// CHECK: [0x7e,0x02,0x8a,0x8f]
4058
4059s_lshr_b64 s[10:11], 0, s2
4060// CHECK: [0x80,0x02,0x8a,0x8f]
4061
4062s_lshr_b64 s[10:11], -1, s2
4063// CHECK: [0xc1,0x02,0x8a,0x8f]
4064
4065s_lshr_b64 s[10:11], 0.5, s2
4066// CHECK: [0xf0,0x02,0x8a,0x8f]
4067
4068s_lshr_b64 s[10:11], -4.0, s2
4069// CHECK: [0xf7,0x02,0x8a,0x8f]
4070
4071s_lshr_b64 s[10:11], src_vccz, s2
4072// CHECK: [0xfb,0x02,0x8a,0x8f]
4073
4074s_lshr_b64 s[10:11], src_execz, s2
4075// CHECK: [0xfc,0x02,0x8a,0x8f]
4076
4077s_lshr_b64 s[10:11], src_scc, s2
4078// CHECK: [0xfd,0x02,0x8a,0x8f]
4079
4080s_lshr_b64 s[10:11], 0xaf123456, s2
4081// CHECK: [0xff,0x02,0x8a,0x8f,0x56,0x34,0x12,0xaf]
4082
4083s_lshr_b64 s[10:11], 0x3f717273, s2
4084// CHECK: [0xff,0x02,0x8a,0x8f,0x73,0x72,0x71,0x3f]
4085
4086s_lshr_b64 s[10:11], s[2:3], s101
4087// CHECK: [0x02,0x65,0x8a,0x8f]
4088
4089s_lshr_b64 s[10:11], s[2:3], flat_scratch_lo
4090// CHECK: [0x02,0x66,0x8a,0x8f]
4091
4092s_lshr_b64 s[10:11], s[2:3], flat_scratch_hi
4093// CHECK: [0x02,0x67,0x8a,0x8f]
4094
4095s_lshr_b64 s[10:11], s[2:3], vcc_lo
4096// CHECK: [0x02,0x6a,0x8a,0x8f]
4097
4098s_lshr_b64 s[10:11], s[2:3], vcc_hi
4099// CHECK: [0x02,0x6b,0x8a,0x8f]
4100
4101s_lshr_b64 s[10:11], s[2:3], ttmp15
4102// CHECK: [0x02,0x7b,0x8a,0x8f]
4103
4104s_lshr_b64 s[10:11], s[2:3], m0
4105// CHECK: [0x02,0x7c,0x8a,0x8f]
4106
4107s_lshr_b64 s[10:11], s[2:3], exec_lo
4108// CHECK: [0x02,0x7e,0x8a,0x8f]
4109
4110s_lshr_b64 s[10:11], s[2:3], exec_hi
4111// CHECK: [0x02,0x7f,0x8a,0x8f]
4112
4113s_lshr_b64 s[10:11], s[2:3], 0
4114// CHECK: [0x02,0x80,0x8a,0x8f]
4115
4116s_lshr_b64 s[10:11], s[2:3], -1
4117// CHECK: [0x02,0xc1,0x8a,0x8f]
4118
4119s_lshr_b64 s[10:11], s[2:3], 0.5
4120// CHECK: [0x02,0xf0,0x8a,0x8f]
4121
4122s_lshr_b64 s[10:11], s[2:3], -4.0
4123// CHECK: [0x02,0xf7,0x8a,0x8f]
4124
4125s_lshr_b64 s[10:11], s[2:3], src_vccz
4126// CHECK: [0x02,0xfb,0x8a,0x8f]
4127
4128s_lshr_b64 s[10:11], s[2:3], src_execz
4129// CHECK: [0x02,0xfc,0x8a,0x8f]
4130
4131s_lshr_b64 s[10:11], s[2:3], src_scc
4132// CHECK: [0x02,0xfd,0x8a,0x8f]
4133
4134s_lshr_b64 s[10:11], s[2:3], 0xaf123456
4135// CHECK: [0x02,0xff,0x8a,0x8f,0x56,0x34,0x12,0xaf]
4136
4137s_lshr_b64 s[10:11], s[2:3], 0x3f717273
4138// CHECK: [0x02,0xff,0x8a,0x8f,0x73,0x72,0x71,0x3f]
4139
4140s_ashr_i32 s5, s1, s2
4141// CHECK: [0x01,0x02,0x05,0x90]
4142
4143s_ashr_i32 s101, s1, s2
4144// CHECK: [0x01,0x02,0x65,0x90]
4145
4146s_ashr_i32 flat_scratch_lo, s1, s2
4147// CHECK: [0x01,0x02,0x66,0x90]
4148
4149s_ashr_i32 flat_scratch_hi, s1, s2
4150// CHECK: [0x01,0x02,0x67,0x90]
4151
4152s_ashr_i32 vcc_lo, s1, s2
4153// CHECK: [0x01,0x02,0x6a,0x90]
4154
4155s_ashr_i32 vcc_hi, s1, s2
4156// CHECK: [0x01,0x02,0x6b,0x90]
4157
4158s_ashr_i32 ttmp15, s1, s2
4159// CHECK: [0x01,0x02,0x7b,0x90]
4160
4161s_ashr_i32 m0, s1, s2
4162// CHECK: [0x01,0x02,0x7c,0x90]
4163
4164s_ashr_i32 exec_lo, s1, s2
4165// CHECK: [0x01,0x02,0x7e,0x90]
4166
4167s_ashr_i32 exec_hi, s1, s2
4168// CHECK: [0x01,0x02,0x7f,0x90]
4169
4170s_ashr_i32 s5, s101, s2
4171// CHECK: [0x65,0x02,0x05,0x90]
4172
4173s_ashr_i32 s5, flat_scratch_lo, s2
4174// CHECK: [0x66,0x02,0x05,0x90]
4175
4176s_ashr_i32 s5, flat_scratch_hi, s2
4177// CHECK: [0x67,0x02,0x05,0x90]
4178
4179s_ashr_i32 s5, vcc_lo, s2
4180// CHECK: [0x6a,0x02,0x05,0x90]
4181
4182s_ashr_i32 s5, vcc_hi, s2
4183// CHECK: [0x6b,0x02,0x05,0x90]
4184
4185s_ashr_i32 s5, ttmp15, s2
4186// CHECK: [0x7b,0x02,0x05,0x90]
4187
4188s_ashr_i32 s5, m0, s2
4189// CHECK: [0x7c,0x02,0x05,0x90]
4190
4191s_ashr_i32 s5, exec_lo, s2
4192// CHECK: [0x7e,0x02,0x05,0x90]
4193
4194s_ashr_i32 s5, exec_hi, s2
4195// CHECK: [0x7f,0x02,0x05,0x90]
4196
4197s_ashr_i32 s5, 0, s2
4198// CHECK: [0x80,0x02,0x05,0x90]
4199
4200s_ashr_i32 s5, -1, s2
4201// CHECK: [0xc1,0x02,0x05,0x90]
4202
4203s_ashr_i32 s5, 0.5, s2
4204// CHECK: [0xf0,0x02,0x05,0x90]
4205
4206s_ashr_i32 s5, -4.0, s2
4207// CHECK: [0xf7,0x02,0x05,0x90]
4208
4209s_ashr_i32 s5, src_vccz, s2
4210// CHECK: [0xfb,0x02,0x05,0x90]
4211
4212s_ashr_i32 s5, src_execz, s2
4213// CHECK: [0xfc,0x02,0x05,0x90]
4214
4215s_ashr_i32 s5, src_scc, s2
4216// CHECK: [0xfd,0x02,0x05,0x90]
4217
4218s_ashr_i32 s5, 0xaf123456, s2
4219// CHECK: [0xff,0x02,0x05,0x90,0x56,0x34,0x12,0xaf]
4220
4221s_ashr_i32 s5, 0x3f717273, s2
4222// CHECK: [0xff,0x02,0x05,0x90,0x73,0x72,0x71,0x3f]
4223
4224s_ashr_i32 s5, s1, s101
4225// CHECK: [0x01,0x65,0x05,0x90]
4226
4227s_ashr_i32 s5, s1, flat_scratch_lo
4228// CHECK: [0x01,0x66,0x05,0x90]
4229
4230s_ashr_i32 s5, s1, flat_scratch_hi
4231// CHECK: [0x01,0x67,0x05,0x90]
4232
4233s_ashr_i32 s5, s1, vcc_lo
4234// CHECK: [0x01,0x6a,0x05,0x90]
4235
4236s_ashr_i32 s5, s1, vcc_hi
4237// CHECK: [0x01,0x6b,0x05,0x90]
4238
4239s_ashr_i32 s5, s1, ttmp15
4240// CHECK: [0x01,0x7b,0x05,0x90]
4241
4242s_ashr_i32 s5, s1, m0
4243// CHECK: [0x01,0x7c,0x05,0x90]
4244
4245s_ashr_i32 s5, s1, exec_lo
4246// CHECK: [0x01,0x7e,0x05,0x90]
4247
4248s_ashr_i32 s5, s1, exec_hi
4249// CHECK: [0x01,0x7f,0x05,0x90]
4250
4251s_ashr_i32 s5, s1, 0
4252// CHECK: [0x01,0x80,0x05,0x90]
4253
4254s_ashr_i32 s5, s1, -1
4255// CHECK: [0x01,0xc1,0x05,0x90]
4256
4257s_ashr_i32 s5, s1, 0.5
4258// CHECK: [0x01,0xf0,0x05,0x90]
4259
4260s_ashr_i32 s5, s1, -4.0
4261// CHECK: [0x01,0xf7,0x05,0x90]
4262
4263s_ashr_i32 s5, s1, src_vccz
4264// CHECK: [0x01,0xfb,0x05,0x90]
4265
4266s_ashr_i32 s5, s1, src_execz
4267// CHECK: [0x01,0xfc,0x05,0x90]
4268
4269s_ashr_i32 s5, s1, src_scc
4270// CHECK: [0x01,0xfd,0x05,0x90]
4271
4272s_ashr_i32 s5, s1, 0xaf123456
4273// CHECK: [0x01,0xff,0x05,0x90,0x56,0x34,0x12,0xaf]
4274
4275s_ashr_i32 s5, s1, 0x3f717273
4276// CHECK: [0x01,0xff,0x05,0x90,0x73,0x72,0x71,0x3f]
4277
4278s_ashr_i64 s[10:11], s[2:3], s2
4279// CHECK: [0x02,0x02,0x8a,0x90]
4280
4281s_ashr_i64 s[12:13], s[2:3], s2
4282// CHECK: [0x02,0x02,0x8c,0x90]
4283
4284s_ashr_i64 s[100:101], s[2:3], s2
4285// CHECK: [0x02,0x02,0xe4,0x90]
4286
4287s_ashr_i64 flat_scratch, s[2:3], s2
4288// CHECK: [0x02,0x02,0xe6,0x90]
4289
4290s_ashr_i64 vcc, s[2:3], s2
4291// CHECK: [0x02,0x02,0xea,0x90]
4292
4293s_ashr_i64 ttmp[14:15], s[2:3], s2
4294// CHECK: [0x02,0x02,0xfa,0x90]
4295
4296s_ashr_i64 exec, s[2:3], s2
4297// CHECK: [0x02,0x02,0xfe,0x90]
4298
4299s_ashr_i64 s[10:11], s[4:5], s2
4300// CHECK: [0x04,0x02,0x8a,0x90]
4301
4302s_ashr_i64 s[10:11], s[100:101], s2
4303// CHECK: [0x64,0x02,0x8a,0x90]
4304
4305s_ashr_i64 s[10:11], flat_scratch, s2
4306// CHECK: [0x66,0x02,0x8a,0x90]
4307
4308s_ashr_i64 s[10:11], vcc, s2
4309// CHECK: [0x6a,0x02,0x8a,0x90]
4310
4311s_ashr_i64 s[10:11], ttmp[14:15], s2
4312// CHECK: [0x7a,0x02,0x8a,0x90]
4313
4314s_ashr_i64 s[10:11], exec, s2
4315// CHECK: [0x7e,0x02,0x8a,0x90]
4316
4317s_ashr_i64 s[10:11], 0, s2
4318// CHECK: [0x80,0x02,0x8a,0x90]
4319
4320s_ashr_i64 s[10:11], -1, s2
4321// CHECK: [0xc1,0x02,0x8a,0x90]
4322
4323s_ashr_i64 s[10:11], 0.5, s2
4324// CHECK: [0xf0,0x02,0x8a,0x90]
4325
4326s_ashr_i64 s[10:11], -4.0, s2
4327// CHECK: [0xf7,0x02,0x8a,0x90]
4328
4329s_ashr_i64 s[10:11], src_vccz, s2
4330// CHECK: [0xfb,0x02,0x8a,0x90]
4331
4332s_ashr_i64 s[10:11], src_execz, s2
4333// CHECK: [0xfc,0x02,0x8a,0x90]
4334
4335s_ashr_i64 s[10:11], src_scc, s2
4336// CHECK: [0xfd,0x02,0x8a,0x90]
4337
4338s_ashr_i64 s[10:11], 0xaf123456, s2
4339// CHECK: [0xff,0x02,0x8a,0x90,0x56,0x34,0x12,0xaf]
4340
4341s_ashr_i64 s[10:11], 0x3f717273, s2
4342// CHECK: [0xff,0x02,0x8a,0x90,0x73,0x72,0x71,0x3f]
4343
4344s_ashr_i64 s[10:11], s[2:3], s101
4345// CHECK: [0x02,0x65,0x8a,0x90]
4346
4347s_ashr_i64 s[10:11], s[2:3], flat_scratch_lo
4348// CHECK: [0x02,0x66,0x8a,0x90]
4349
4350s_ashr_i64 s[10:11], s[2:3], flat_scratch_hi
4351// CHECK: [0x02,0x67,0x8a,0x90]
4352
4353s_ashr_i64 s[10:11], s[2:3], vcc_lo
4354// CHECK: [0x02,0x6a,0x8a,0x90]
4355
4356s_ashr_i64 s[10:11], s[2:3], vcc_hi
4357// CHECK: [0x02,0x6b,0x8a,0x90]
4358
4359s_ashr_i64 s[10:11], s[2:3], ttmp15
4360// CHECK: [0x02,0x7b,0x8a,0x90]
4361
4362s_ashr_i64 s[10:11], s[2:3], m0
4363// CHECK: [0x02,0x7c,0x8a,0x90]
4364
4365s_ashr_i64 s[10:11], s[2:3], exec_lo
4366// CHECK: [0x02,0x7e,0x8a,0x90]
4367
4368s_ashr_i64 s[10:11], s[2:3], exec_hi
4369// CHECK: [0x02,0x7f,0x8a,0x90]
4370
4371s_ashr_i64 s[10:11], s[2:3], 0
4372// CHECK: [0x02,0x80,0x8a,0x90]
4373
4374s_ashr_i64 s[10:11], s[2:3], -1
4375// CHECK: [0x02,0xc1,0x8a,0x90]
4376
4377s_ashr_i64 s[10:11], s[2:3], 0.5
4378// CHECK: [0x02,0xf0,0x8a,0x90]
4379
4380s_ashr_i64 s[10:11], s[2:3], -4.0
4381// CHECK: [0x02,0xf7,0x8a,0x90]
4382
4383s_ashr_i64 s[10:11], s[2:3], src_vccz
4384// CHECK: [0x02,0xfb,0x8a,0x90]
4385
4386s_ashr_i64 s[10:11], s[2:3], src_execz
4387// CHECK: [0x02,0xfc,0x8a,0x90]
4388
4389s_ashr_i64 s[10:11], s[2:3], src_scc
4390// CHECK: [0x02,0xfd,0x8a,0x90]
4391
4392s_ashr_i64 s[10:11], s[2:3], 0xaf123456
4393// CHECK: [0x02,0xff,0x8a,0x90,0x56,0x34,0x12,0xaf]
4394
4395s_ashr_i64 s[10:11], s[2:3], 0x3f717273
4396// CHECK: [0x02,0xff,0x8a,0x90,0x73,0x72,0x71,0x3f]
4397
4398s_bfm_b32 s5, s1, s2
4399// CHECK: [0x01,0x02,0x05,0x91]
4400
4401s_bfm_b32 s101, s1, s2
4402// CHECK: [0x01,0x02,0x65,0x91]
4403
4404s_bfm_b32 flat_scratch_lo, s1, s2
4405// CHECK: [0x01,0x02,0x66,0x91]
4406
4407s_bfm_b32 flat_scratch_hi, s1, s2
4408// CHECK: [0x01,0x02,0x67,0x91]
4409
4410s_bfm_b32 vcc_lo, s1, s2
4411// CHECK: [0x01,0x02,0x6a,0x91]
4412
4413s_bfm_b32 vcc_hi, s1, s2
4414// CHECK: [0x01,0x02,0x6b,0x91]
4415
4416s_bfm_b32 ttmp15, s1, s2
4417// CHECK: [0x01,0x02,0x7b,0x91]
4418
4419s_bfm_b32 m0, s1, s2
4420// CHECK: [0x01,0x02,0x7c,0x91]
4421
4422s_bfm_b32 exec_lo, s1, s2
4423// CHECK: [0x01,0x02,0x7e,0x91]
4424
4425s_bfm_b32 exec_hi, s1, s2
4426// CHECK: [0x01,0x02,0x7f,0x91]
4427
4428s_bfm_b32 s5, s101, s2
4429// CHECK: [0x65,0x02,0x05,0x91]
4430
4431s_bfm_b32 s5, flat_scratch_lo, s2
4432// CHECK: [0x66,0x02,0x05,0x91]
4433
4434s_bfm_b32 s5, flat_scratch_hi, s2
4435// CHECK: [0x67,0x02,0x05,0x91]
4436
4437s_bfm_b32 s5, vcc_lo, s2
4438// CHECK: [0x6a,0x02,0x05,0x91]
4439
4440s_bfm_b32 s5, vcc_hi, s2
4441// CHECK: [0x6b,0x02,0x05,0x91]
4442
4443s_bfm_b32 s5, ttmp15, s2
4444// CHECK: [0x7b,0x02,0x05,0x91]
4445
4446s_bfm_b32 s5, m0, s2
4447// CHECK: [0x7c,0x02,0x05,0x91]
4448
4449s_bfm_b32 s5, exec_lo, s2
4450// CHECK: [0x7e,0x02,0x05,0x91]
4451
4452s_bfm_b32 s5, exec_hi, s2
4453// CHECK: [0x7f,0x02,0x05,0x91]
4454
4455s_bfm_b32 s5, 0, s2
4456// CHECK: [0x80,0x02,0x05,0x91]
4457
4458s_bfm_b32 s5, -1, s2
4459// CHECK: [0xc1,0x02,0x05,0x91]
4460
4461s_bfm_b32 s5, 0.5, s2
4462// CHECK: [0xf0,0x02,0x05,0x91]
4463
4464s_bfm_b32 s5, -4.0, s2
4465// CHECK: [0xf7,0x02,0x05,0x91]
4466
4467s_bfm_b32 s5, src_vccz, s2
4468// CHECK: [0xfb,0x02,0x05,0x91]
4469
4470s_bfm_b32 s5, src_execz, s2
4471// CHECK: [0xfc,0x02,0x05,0x91]
4472
4473s_bfm_b32 s5, src_scc, s2
4474// CHECK: [0xfd,0x02,0x05,0x91]
4475
4476s_bfm_b32 s5, 0xaf123456, s2
4477// CHECK: [0xff,0x02,0x05,0x91,0x56,0x34,0x12,0xaf]
4478
4479s_bfm_b32 s5, 0x3f717273, s2
4480// CHECK: [0xff,0x02,0x05,0x91,0x73,0x72,0x71,0x3f]
4481
4482s_bfm_b32 s5, s1, s101
4483// CHECK: [0x01,0x65,0x05,0x91]
4484
4485s_bfm_b32 s5, s1, flat_scratch_lo
4486// CHECK: [0x01,0x66,0x05,0x91]
4487
4488s_bfm_b32 s5, s1, flat_scratch_hi
4489// CHECK: [0x01,0x67,0x05,0x91]
4490
4491s_bfm_b32 s5, s1, vcc_lo
4492// CHECK: [0x01,0x6a,0x05,0x91]
4493
4494s_bfm_b32 s5, s1, vcc_hi
4495// CHECK: [0x01,0x6b,0x05,0x91]
4496
4497s_bfm_b32 s5, s1, ttmp15
4498// CHECK: [0x01,0x7b,0x05,0x91]
4499
4500s_bfm_b32 s5, s1, m0
4501// CHECK: [0x01,0x7c,0x05,0x91]
4502
4503s_bfm_b32 s5, s1, exec_lo
4504// CHECK: [0x01,0x7e,0x05,0x91]
4505
4506s_bfm_b32 s5, s1, exec_hi
4507// CHECK: [0x01,0x7f,0x05,0x91]
4508
4509s_bfm_b32 s5, s1, 0
4510// CHECK: [0x01,0x80,0x05,0x91]
4511
4512s_bfm_b32 s5, s1, -1
4513// CHECK: [0x01,0xc1,0x05,0x91]
4514
4515s_bfm_b32 s5, s1, 0.5
4516// CHECK: [0x01,0xf0,0x05,0x91]
4517
4518s_bfm_b32 s5, s1, -4.0
4519// CHECK: [0x01,0xf7,0x05,0x91]
4520
4521s_bfm_b32 s5, s1, src_vccz
4522// CHECK: [0x01,0xfb,0x05,0x91]
4523
4524s_bfm_b32 s5, s1, src_execz
4525// CHECK: [0x01,0xfc,0x05,0x91]
4526
4527s_bfm_b32 s5, s1, src_scc
4528// CHECK: [0x01,0xfd,0x05,0x91]
4529
4530s_bfm_b32 s5, s1, 0xaf123456
4531// CHECK: [0x01,0xff,0x05,0x91,0x56,0x34,0x12,0xaf]
4532
4533s_bfm_b32 s5, s1, 0x3f717273
4534// CHECK: [0x01,0xff,0x05,0x91,0x73,0x72,0x71,0x3f]
4535
4536s_bfm_b64 s[10:11], s1, s2
4537// CHECK: [0x01,0x02,0x8a,0x91]
4538
4539s_bfm_b64 s[12:13], s1, s2
4540// CHECK: [0x01,0x02,0x8c,0x91]
4541
4542s_bfm_b64 s[100:101], s1, s2
4543// CHECK: [0x01,0x02,0xe4,0x91]
4544
4545s_bfm_b64 flat_scratch, s1, s2
4546// CHECK: [0x01,0x02,0xe6,0x91]
4547
4548s_bfm_b64 vcc, s1, s2
4549// CHECK: [0x01,0x02,0xea,0x91]
4550
4551s_bfm_b64 ttmp[14:15], s1, s2
4552// CHECK: [0x01,0x02,0xfa,0x91]
4553
4554s_bfm_b64 exec, s1, s2
4555// CHECK: [0x01,0x02,0xfe,0x91]
4556
4557s_bfm_b64 s[10:11], s101, s2
4558// CHECK: [0x65,0x02,0x8a,0x91]
4559
4560s_bfm_b64 s[10:11], flat_scratch_lo, s2
4561// CHECK: [0x66,0x02,0x8a,0x91]
4562
4563s_bfm_b64 s[10:11], flat_scratch_hi, s2
4564// CHECK: [0x67,0x02,0x8a,0x91]
4565
4566s_bfm_b64 s[10:11], vcc_lo, s2
4567// CHECK: [0x6a,0x02,0x8a,0x91]
4568
4569s_bfm_b64 s[10:11], vcc_hi, s2
4570// CHECK: [0x6b,0x02,0x8a,0x91]
4571
4572s_bfm_b64 s[10:11], ttmp15, s2
4573// CHECK: [0x7b,0x02,0x8a,0x91]
4574
4575s_bfm_b64 s[10:11], m0, s2
4576// CHECK: [0x7c,0x02,0x8a,0x91]
4577
4578s_bfm_b64 s[10:11], exec_lo, s2
4579// CHECK: [0x7e,0x02,0x8a,0x91]
4580
4581s_bfm_b64 s[10:11], exec_hi, s2
4582// CHECK: [0x7f,0x02,0x8a,0x91]
4583
4584s_bfm_b64 s[10:11], 0, s2
4585// CHECK: [0x80,0x02,0x8a,0x91]
4586
4587s_bfm_b64 s[10:11], -1, s2
4588// CHECK: [0xc1,0x02,0x8a,0x91]
4589
4590s_bfm_b64 s[10:11], 0.5, s2
4591// CHECK: [0xf0,0x02,0x8a,0x91]
4592
4593s_bfm_b64 s[10:11], -4.0, s2
4594// CHECK: [0xf7,0x02,0x8a,0x91]
4595
4596s_bfm_b64 s[10:11], src_vccz, s2
4597// CHECK: [0xfb,0x02,0x8a,0x91]
4598
4599s_bfm_b64 s[10:11], src_execz, s2
4600// CHECK: [0xfc,0x02,0x8a,0x91]
4601
4602s_bfm_b64 s[10:11], src_scc, s2
4603// CHECK: [0xfd,0x02,0x8a,0x91]
4604
4605s_bfm_b64 s[10:11], 0xaf123456, s2
4606// CHECK: [0xff,0x02,0x8a,0x91,0x56,0x34,0x12,0xaf]
4607
4608s_bfm_b64 s[10:11], 0x3f717273, s2
4609// CHECK: [0xff,0x02,0x8a,0x91,0x73,0x72,0x71,0x3f]
4610
4611s_bfm_b64 s[10:11], s1, s101
4612// CHECK: [0x01,0x65,0x8a,0x91]
4613
4614s_bfm_b64 s[10:11], s1, flat_scratch_lo
4615// CHECK: [0x01,0x66,0x8a,0x91]
4616
4617s_bfm_b64 s[10:11], s1, flat_scratch_hi
4618// CHECK: [0x01,0x67,0x8a,0x91]
4619
4620s_bfm_b64 s[10:11], s1, vcc_lo
4621// CHECK: [0x01,0x6a,0x8a,0x91]
4622
4623s_bfm_b64 s[10:11], s1, vcc_hi
4624// CHECK: [0x01,0x6b,0x8a,0x91]
4625
4626s_bfm_b64 s[10:11], s1, ttmp15
4627// CHECK: [0x01,0x7b,0x8a,0x91]
4628
4629s_bfm_b64 s[10:11], s1, m0
4630// CHECK: [0x01,0x7c,0x8a,0x91]
4631
4632s_bfm_b64 s[10:11], s1, exec_lo
4633// CHECK: [0x01,0x7e,0x8a,0x91]
4634
4635s_bfm_b64 s[10:11], s1, exec_hi
4636// CHECK: [0x01,0x7f,0x8a,0x91]
4637
4638s_bfm_b64 s[10:11], s1, 0
4639// CHECK: [0x01,0x80,0x8a,0x91]
4640
4641s_bfm_b64 s[10:11], s1, -1
4642// CHECK: [0x01,0xc1,0x8a,0x91]
4643
4644s_bfm_b64 s[10:11], s1, 0.5
4645// CHECK: [0x01,0xf0,0x8a,0x91]
4646
4647s_bfm_b64 s[10:11], s1, -4.0
4648// CHECK: [0x01,0xf7,0x8a,0x91]
4649
4650s_bfm_b64 s[10:11], s1, src_vccz
4651// CHECK: [0x01,0xfb,0x8a,0x91]
4652
4653s_bfm_b64 s[10:11], s1, src_execz
4654// CHECK: [0x01,0xfc,0x8a,0x91]
4655
4656s_bfm_b64 s[10:11], s1, src_scc
4657// CHECK: [0x01,0xfd,0x8a,0x91]
4658
4659s_bfm_b64 s[10:11], s1, 0xaf123456
4660// CHECK: [0x01,0xff,0x8a,0x91,0x56,0x34,0x12,0xaf]
4661
4662s_bfm_b64 s[10:11], s1, 0x3f717273
4663// CHECK: [0x01,0xff,0x8a,0x91,0x73,0x72,0x71,0x3f]
4664
4665s_mul_i32 s5, s1, s2
4666// CHECK: [0x01,0x02,0x05,0x92]
4667
4668s_mul_i32 s101, s1, s2
4669// CHECK: [0x01,0x02,0x65,0x92]
4670
4671s_mul_i32 flat_scratch_lo, s1, s2
4672// CHECK: [0x01,0x02,0x66,0x92]
4673
4674s_mul_i32 flat_scratch_hi, s1, s2
4675// CHECK: [0x01,0x02,0x67,0x92]
4676
4677s_mul_i32 vcc_lo, s1, s2
4678// CHECK: [0x01,0x02,0x6a,0x92]
4679
4680s_mul_i32 vcc_hi, s1, s2
4681// CHECK: [0x01,0x02,0x6b,0x92]
4682
4683s_mul_i32 ttmp15, s1, s2
4684// CHECK: [0x01,0x02,0x7b,0x92]
4685
4686s_mul_i32 m0, s1, s2
4687// CHECK: [0x01,0x02,0x7c,0x92]
4688
4689s_mul_i32 exec_lo, s1, s2
4690// CHECK: [0x01,0x02,0x7e,0x92]
4691
4692s_mul_i32 exec_hi, s1, s2
4693// CHECK: [0x01,0x02,0x7f,0x92]
4694
4695s_mul_i32 s5, s101, s2
4696// CHECK: [0x65,0x02,0x05,0x92]
4697
4698s_mul_i32 s5, flat_scratch_lo, s2
4699// CHECK: [0x66,0x02,0x05,0x92]
4700
4701s_mul_i32 s5, flat_scratch_hi, s2
4702// CHECK: [0x67,0x02,0x05,0x92]
4703
4704s_mul_i32 s5, vcc_lo, s2
4705// CHECK: [0x6a,0x02,0x05,0x92]
4706
4707s_mul_i32 s5, vcc_hi, s2
4708// CHECK: [0x6b,0x02,0x05,0x92]
4709
4710s_mul_i32 s5, ttmp15, s2
4711// CHECK: [0x7b,0x02,0x05,0x92]
4712
4713s_mul_i32 s5, m0, s2
4714// CHECK: [0x7c,0x02,0x05,0x92]
4715
4716s_mul_i32 s5, exec_lo, s2
4717// CHECK: [0x7e,0x02,0x05,0x92]
4718
4719s_mul_i32 s5, exec_hi, s2
4720// CHECK: [0x7f,0x02,0x05,0x92]
4721
4722s_mul_i32 s5, 0, s2
4723// CHECK: [0x80,0x02,0x05,0x92]
4724
4725s_mul_i32 s5, -1, s2
4726// CHECK: [0xc1,0x02,0x05,0x92]
4727
4728s_mul_i32 s5, 0.5, s2
4729// CHECK: [0xf0,0x02,0x05,0x92]
4730
4731s_mul_i32 s5, -4.0, s2
4732// CHECK: [0xf7,0x02,0x05,0x92]
4733
4734s_mul_i32 s5, src_vccz, s2
4735// CHECK: [0xfb,0x02,0x05,0x92]
4736
4737s_mul_i32 s5, src_execz, s2
4738// CHECK: [0xfc,0x02,0x05,0x92]
4739
4740s_mul_i32 s5, src_scc, s2
4741// CHECK: [0xfd,0x02,0x05,0x92]
4742
4743s_mul_i32 s5, 0xaf123456, s2
4744// CHECK: [0xff,0x02,0x05,0x92,0x56,0x34,0x12,0xaf]
4745
4746s_mul_i32 s5, 0x3f717273, s2
4747// CHECK: [0xff,0x02,0x05,0x92,0x73,0x72,0x71,0x3f]
4748
4749s_mul_i32 s5, s1, s101
4750// CHECK: [0x01,0x65,0x05,0x92]
4751
4752s_mul_i32 s5, s1, flat_scratch_lo
4753// CHECK: [0x01,0x66,0x05,0x92]
4754
4755s_mul_i32 s5, s1, flat_scratch_hi
4756// CHECK: [0x01,0x67,0x05,0x92]
4757
4758s_mul_i32 s5, s1, vcc_lo
4759// CHECK: [0x01,0x6a,0x05,0x92]
4760
4761s_mul_i32 s5, s1, vcc_hi
4762// CHECK: [0x01,0x6b,0x05,0x92]
4763
4764s_mul_i32 s5, s1, ttmp15
4765// CHECK: [0x01,0x7b,0x05,0x92]
4766
4767s_mul_i32 s5, s1, m0
4768// CHECK: [0x01,0x7c,0x05,0x92]
4769
4770s_mul_i32 s5, s1, exec_lo
4771// CHECK: [0x01,0x7e,0x05,0x92]
4772
4773s_mul_i32 s5, s1, exec_hi
4774// CHECK: [0x01,0x7f,0x05,0x92]
4775
4776s_mul_i32 s5, s1, 0
4777// CHECK: [0x01,0x80,0x05,0x92]
4778
4779s_mul_i32 s5, s1, -1
4780// CHECK: [0x01,0xc1,0x05,0x92]
4781
4782s_mul_i32 s5, s1, 0.5
4783// CHECK: [0x01,0xf0,0x05,0x92]
4784
4785s_mul_i32 s5, s1, -4.0
4786// CHECK: [0x01,0xf7,0x05,0x92]
4787
4788s_mul_i32 s5, s1, src_vccz
4789// CHECK: [0x01,0xfb,0x05,0x92]
4790
4791s_mul_i32 s5, s1, src_execz
4792// CHECK: [0x01,0xfc,0x05,0x92]
4793
4794s_mul_i32 s5, s1, src_scc
4795// CHECK: [0x01,0xfd,0x05,0x92]
4796
4797s_mul_i32 s5, s1, 0xaf123456
4798// CHECK: [0x01,0xff,0x05,0x92,0x56,0x34,0x12,0xaf]
4799
4800s_mul_i32 s5, s1, 0x3f717273
4801// CHECK: [0x01,0xff,0x05,0x92,0x73,0x72,0x71,0x3f]
4802
4803s_bfe_u32 s5, s1, s2
4804// CHECK: [0x01,0x02,0x85,0x92]
4805
4806s_bfe_u32 s101, s1, s2
4807// CHECK: [0x01,0x02,0xe5,0x92]
4808
4809s_bfe_u32 flat_scratch_lo, s1, s2
4810// CHECK: [0x01,0x02,0xe6,0x92]
4811
4812s_bfe_u32 flat_scratch_hi, s1, s2
4813// CHECK: [0x01,0x02,0xe7,0x92]
4814
4815s_bfe_u32 vcc_lo, s1, s2
4816// CHECK: [0x01,0x02,0xea,0x92]
4817
4818s_bfe_u32 vcc_hi, s1, s2
4819// CHECK: [0x01,0x02,0xeb,0x92]
4820
4821s_bfe_u32 ttmp15, s1, s2
4822// CHECK: [0x01,0x02,0xfb,0x92]
4823
4824s_bfe_u32 m0, s1, s2
4825// CHECK: [0x01,0x02,0xfc,0x92]
4826
4827s_bfe_u32 exec_lo, s1, s2
4828// CHECK: [0x01,0x02,0xfe,0x92]
4829
4830s_bfe_u32 exec_hi, s1, s2
4831// CHECK: [0x01,0x02,0xff,0x92]
4832
4833s_bfe_u32 s5, s101, s2
4834// CHECK: [0x65,0x02,0x85,0x92]
4835
4836s_bfe_u32 s5, flat_scratch_lo, s2
4837// CHECK: [0x66,0x02,0x85,0x92]
4838
4839s_bfe_u32 s5, flat_scratch_hi, s2
4840// CHECK: [0x67,0x02,0x85,0x92]
4841
4842s_bfe_u32 s5, vcc_lo, s2
4843// CHECK: [0x6a,0x02,0x85,0x92]
4844
4845s_bfe_u32 s5, vcc_hi, s2
4846// CHECK: [0x6b,0x02,0x85,0x92]
4847
4848s_bfe_u32 s5, ttmp15, s2
4849// CHECK: [0x7b,0x02,0x85,0x92]
4850
4851s_bfe_u32 s5, m0, s2
4852// CHECK: [0x7c,0x02,0x85,0x92]
4853
4854s_bfe_u32 s5, exec_lo, s2
4855// CHECK: [0x7e,0x02,0x85,0x92]
4856
4857s_bfe_u32 s5, exec_hi, s2
4858// CHECK: [0x7f,0x02,0x85,0x92]
4859
4860s_bfe_u32 s5, 0, s2
4861// CHECK: [0x80,0x02,0x85,0x92]
4862
4863s_bfe_u32 s5, -1, s2
4864// CHECK: [0xc1,0x02,0x85,0x92]
4865
4866s_bfe_u32 s5, 0.5, s2
4867// CHECK: [0xf0,0x02,0x85,0x92]
4868
4869s_bfe_u32 s5, -4.0, s2
4870// CHECK: [0xf7,0x02,0x85,0x92]
4871
4872s_bfe_u32 s5, src_vccz, s2
4873// CHECK: [0xfb,0x02,0x85,0x92]
4874
4875s_bfe_u32 s5, src_execz, s2
4876// CHECK: [0xfc,0x02,0x85,0x92]
4877
4878s_bfe_u32 s5, src_scc, s2
4879// CHECK: [0xfd,0x02,0x85,0x92]
4880
4881s_bfe_u32 s5, 0xaf123456, s2
4882// CHECK: [0xff,0x02,0x85,0x92,0x56,0x34,0x12,0xaf]
4883
4884s_bfe_u32 s5, 0x3f717273, s2
4885// CHECK: [0xff,0x02,0x85,0x92,0x73,0x72,0x71,0x3f]
4886
4887s_bfe_u32 s5, s1, s101
4888// CHECK: [0x01,0x65,0x85,0x92]
4889
4890s_bfe_u32 s5, s1, flat_scratch_lo
4891// CHECK: [0x01,0x66,0x85,0x92]
4892
4893s_bfe_u32 s5, s1, flat_scratch_hi
4894// CHECK: [0x01,0x67,0x85,0x92]
4895
4896s_bfe_u32 s5, s1, vcc_lo
4897// CHECK: [0x01,0x6a,0x85,0x92]
4898
4899s_bfe_u32 s5, s1, vcc_hi
4900// CHECK: [0x01,0x6b,0x85,0x92]
4901
4902s_bfe_u32 s5, s1, ttmp15
4903// CHECK: [0x01,0x7b,0x85,0x92]
4904
4905s_bfe_u32 s5, s1, m0
4906// CHECK: [0x01,0x7c,0x85,0x92]
4907
4908s_bfe_u32 s5, s1, exec_lo
4909// CHECK: [0x01,0x7e,0x85,0x92]
4910
4911s_bfe_u32 s5, s1, exec_hi
4912// CHECK: [0x01,0x7f,0x85,0x92]
4913
4914s_bfe_u32 s5, s1, 0
4915// CHECK: [0x01,0x80,0x85,0x92]
4916
4917s_bfe_u32 s5, s1, -1
4918// CHECK: [0x01,0xc1,0x85,0x92]
4919
4920s_bfe_u32 s5, s1, 0.5
4921// CHECK: [0x01,0xf0,0x85,0x92]
4922
4923s_bfe_u32 s5, s1, -4.0
4924// CHECK: [0x01,0xf7,0x85,0x92]
4925
4926s_bfe_u32 s5, s1, src_vccz
4927// CHECK: [0x01,0xfb,0x85,0x92]
4928
4929s_bfe_u32 s5, s1, src_execz
4930// CHECK: [0x01,0xfc,0x85,0x92]
4931
4932s_bfe_u32 s5, s1, src_scc
4933// CHECK: [0x01,0xfd,0x85,0x92]
4934
4935s_bfe_u32 s5, s1, 0xaf123456
4936// CHECK: [0x01,0xff,0x85,0x92,0x56,0x34,0x12,0xaf]
4937
4938s_bfe_u32 s5, s1, 0x3f717273
4939// CHECK: [0x01,0xff,0x85,0x92,0x73,0x72,0x71,0x3f]
4940
4941s_bfe_i32 s5, s1, s2
4942// CHECK: [0x01,0x02,0x05,0x93]
4943
4944s_bfe_i32 s101, s1, s2
4945// CHECK: [0x01,0x02,0x65,0x93]
4946
4947s_bfe_i32 flat_scratch_lo, s1, s2
4948// CHECK: [0x01,0x02,0x66,0x93]
4949
4950s_bfe_i32 flat_scratch_hi, s1, s2
4951// CHECK: [0x01,0x02,0x67,0x93]
4952
4953s_bfe_i32 vcc_lo, s1, s2
4954// CHECK: [0x01,0x02,0x6a,0x93]
4955
4956s_bfe_i32 vcc_hi, s1, s2
4957// CHECK: [0x01,0x02,0x6b,0x93]
4958
4959s_bfe_i32 ttmp15, s1, s2
4960// CHECK: [0x01,0x02,0x7b,0x93]
4961
4962s_bfe_i32 m0, s1, s2
4963// CHECK: [0x01,0x02,0x7c,0x93]
4964
4965s_bfe_i32 exec_lo, s1, s2
4966// CHECK: [0x01,0x02,0x7e,0x93]
4967
4968s_bfe_i32 exec_hi, s1, s2
4969// CHECK: [0x01,0x02,0x7f,0x93]
4970
4971s_bfe_i32 s5, s101, s2
4972// CHECK: [0x65,0x02,0x05,0x93]
4973
4974s_bfe_i32 s5, flat_scratch_lo, s2
4975// CHECK: [0x66,0x02,0x05,0x93]
4976
4977s_bfe_i32 s5, flat_scratch_hi, s2
4978// CHECK: [0x67,0x02,0x05,0x93]
4979
4980s_bfe_i32 s5, vcc_lo, s2
4981// CHECK: [0x6a,0x02,0x05,0x93]
4982
4983s_bfe_i32 s5, vcc_hi, s2
4984// CHECK: [0x6b,0x02,0x05,0x93]
4985
4986s_bfe_i32 s5, ttmp15, s2
4987// CHECK: [0x7b,0x02,0x05,0x93]
4988
4989s_bfe_i32 s5, m0, s2
4990// CHECK: [0x7c,0x02,0x05,0x93]
4991
4992s_bfe_i32 s5, exec_lo, s2
4993// CHECK: [0x7e,0x02,0x05,0x93]
4994
4995s_bfe_i32 s5, exec_hi, s2
4996// CHECK: [0x7f,0x02,0x05,0x93]
4997
4998s_bfe_i32 s5, 0, s2
4999// CHECK: [0x80,0x02,0x05,0x93]
5000
5001s_bfe_i32 s5, -1, s2
5002// CHECK: [0xc1,0x02,0x05,0x93]
5003
5004s_bfe_i32 s5, 0.5, s2
5005// CHECK: [0xf0,0x02,0x05,0x93]
5006
5007s_bfe_i32 s5, -4.0, s2
5008// CHECK: [0xf7,0x02,0x05,0x93]
5009
5010s_bfe_i32 s5, src_vccz, s2
5011// CHECK: [0xfb,0x02,0x05,0x93]
5012
5013s_bfe_i32 s5, src_execz, s2
5014// CHECK: [0xfc,0x02,0x05,0x93]
5015
5016s_bfe_i32 s5, src_scc, s2
5017// CHECK: [0xfd,0x02,0x05,0x93]
5018
5019s_bfe_i32 s5, 0xaf123456, s2
5020// CHECK: [0xff,0x02,0x05,0x93,0x56,0x34,0x12,0xaf]
5021
5022s_bfe_i32 s5, 0x3f717273, s2
5023// CHECK: [0xff,0x02,0x05,0x93,0x73,0x72,0x71,0x3f]
5024
5025s_bfe_i32 s5, s1, s101
5026// CHECK: [0x01,0x65,0x05,0x93]
5027
5028s_bfe_i32 s5, s1, flat_scratch_lo
5029// CHECK: [0x01,0x66,0x05,0x93]
5030
5031s_bfe_i32 s5, s1, flat_scratch_hi
5032// CHECK: [0x01,0x67,0x05,0x93]
5033
5034s_bfe_i32 s5, s1, vcc_lo
5035// CHECK: [0x01,0x6a,0x05,0x93]
5036
5037s_bfe_i32 s5, s1, vcc_hi
5038// CHECK: [0x01,0x6b,0x05,0x93]
5039
5040s_bfe_i32 s5, s1, ttmp15
5041// CHECK: [0x01,0x7b,0x05,0x93]
5042
5043s_bfe_i32 s5, s1, m0
5044// CHECK: [0x01,0x7c,0x05,0x93]
5045
5046s_bfe_i32 s5, s1, exec_lo
5047// CHECK: [0x01,0x7e,0x05,0x93]
5048
5049s_bfe_i32 s5, s1, exec_hi
5050// CHECK: [0x01,0x7f,0x05,0x93]
5051
5052s_bfe_i32 s5, s1, 0
5053// CHECK: [0x01,0x80,0x05,0x93]
5054
5055s_bfe_i32 s5, s1, -1
5056// CHECK: [0x01,0xc1,0x05,0x93]
5057
5058s_bfe_i32 s5, s1, 0.5
5059// CHECK: [0x01,0xf0,0x05,0x93]
5060
5061s_bfe_i32 s5, s1, -4.0
5062// CHECK: [0x01,0xf7,0x05,0x93]
5063
5064s_bfe_i32 s5, s1, src_vccz
5065// CHECK: [0x01,0xfb,0x05,0x93]
5066
5067s_bfe_i32 s5, s1, src_execz
5068// CHECK: [0x01,0xfc,0x05,0x93]
5069
5070s_bfe_i32 s5, s1, src_scc
5071// CHECK: [0x01,0xfd,0x05,0x93]
5072
5073s_bfe_i32 s5, s1, 0xaf123456
5074// CHECK: [0x01,0xff,0x05,0x93,0x56,0x34,0x12,0xaf]
5075
5076s_bfe_i32 s5, s1, 0x3f717273
5077// CHECK: [0x01,0xff,0x05,0x93,0x73,0x72,0x71,0x3f]
5078
5079s_bfe_u64 s[10:11], s[2:3], s2
5080// CHECK: [0x02,0x02,0x8a,0x93]
5081
5082s_bfe_u64 s[12:13], s[2:3], s2
5083// CHECK: [0x02,0x02,0x8c,0x93]
5084
5085s_bfe_u64 s[100:101], s[2:3], s2
5086// CHECK: [0x02,0x02,0xe4,0x93]
5087
5088s_bfe_u64 flat_scratch, s[2:3], s2
5089// CHECK: [0x02,0x02,0xe6,0x93]
5090
5091s_bfe_u64 vcc, s[2:3], s2
5092// CHECK: [0x02,0x02,0xea,0x93]
5093
5094s_bfe_u64 ttmp[14:15], s[2:3], s2
5095// CHECK: [0x02,0x02,0xfa,0x93]
5096
5097s_bfe_u64 exec, s[2:3], s2
5098// CHECK: [0x02,0x02,0xfe,0x93]
5099
5100s_bfe_u64 s[10:11], s[4:5], s2
5101// CHECK: [0x04,0x02,0x8a,0x93]
5102
5103s_bfe_u64 s[10:11], s[100:101], s2
5104// CHECK: [0x64,0x02,0x8a,0x93]
5105
5106s_bfe_u64 s[10:11], flat_scratch, s2
5107// CHECK: [0x66,0x02,0x8a,0x93]
5108
5109s_bfe_u64 s[10:11], vcc, s2
5110// CHECK: [0x6a,0x02,0x8a,0x93]
5111
5112s_bfe_u64 s[10:11], ttmp[14:15], s2
5113// CHECK: [0x7a,0x02,0x8a,0x93]
5114
5115s_bfe_u64 s[10:11], exec, s2
5116// CHECK: [0x7e,0x02,0x8a,0x93]
5117
5118s_bfe_u64 s[10:11], 0, s2
5119// CHECK: [0x80,0x02,0x8a,0x93]
5120
5121s_bfe_u64 s[10:11], -1, s2
5122// CHECK: [0xc1,0x02,0x8a,0x93]
5123
5124s_bfe_u64 s[10:11], 0.5, s2
5125// CHECK: [0xf0,0x02,0x8a,0x93]
5126
5127s_bfe_u64 s[10:11], -4.0, s2
5128// CHECK: [0xf7,0x02,0x8a,0x93]
5129
5130s_bfe_u64 s[10:11], src_vccz, s2
5131// CHECK: [0xfb,0x02,0x8a,0x93]
5132
5133s_bfe_u64 s[10:11], src_execz, s2
5134// CHECK: [0xfc,0x02,0x8a,0x93]
5135
5136s_bfe_u64 s[10:11], src_scc, s2
5137// CHECK: [0xfd,0x02,0x8a,0x93]
5138
5139s_bfe_u64 s[10:11], 0xaf123456, s2
5140// CHECK: [0xff,0x02,0x8a,0x93,0x56,0x34,0x12,0xaf]
5141
5142s_bfe_u64 s[10:11], 0x3f717273, s2
5143// CHECK: [0xff,0x02,0x8a,0x93,0x73,0x72,0x71,0x3f]
5144
5145s_bfe_u64 s[10:11], s[2:3], s101
5146// CHECK: [0x02,0x65,0x8a,0x93]
5147
5148s_bfe_u64 s[10:11], s[2:3], flat_scratch_lo
5149// CHECK: [0x02,0x66,0x8a,0x93]
5150
5151s_bfe_u64 s[10:11], s[2:3], flat_scratch_hi
5152// CHECK: [0x02,0x67,0x8a,0x93]
5153
5154s_bfe_u64 s[10:11], s[2:3], vcc_lo
5155// CHECK: [0x02,0x6a,0x8a,0x93]
5156
5157s_bfe_u64 s[10:11], s[2:3], vcc_hi
5158// CHECK: [0x02,0x6b,0x8a,0x93]
5159
5160s_bfe_u64 s[10:11], s[2:3], ttmp15
5161// CHECK: [0x02,0x7b,0x8a,0x93]
5162
5163s_bfe_u64 s[10:11], s[2:3], m0
5164// CHECK: [0x02,0x7c,0x8a,0x93]
5165
5166s_bfe_u64 s[10:11], s[2:3], exec_lo
5167// CHECK: [0x02,0x7e,0x8a,0x93]
5168
5169s_bfe_u64 s[10:11], s[2:3], exec_hi
5170// CHECK: [0x02,0x7f,0x8a,0x93]
5171
5172s_bfe_u64 s[10:11], s[2:3], 0
5173// CHECK: [0x02,0x80,0x8a,0x93]
5174
5175s_bfe_u64 s[10:11], s[2:3], -1
5176// CHECK: [0x02,0xc1,0x8a,0x93]
5177
5178s_bfe_u64 s[10:11], s[2:3], 0.5
5179// CHECK: [0x02,0xf0,0x8a,0x93]
5180
5181s_bfe_u64 s[10:11], s[2:3], -4.0
5182// CHECK: [0x02,0xf7,0x8a,0x93]
5183
5184s_bfe_u64 s[10:11], s[2:3], src_vccz
5185// CHECK: [0x02,0xfb,0x8a,0x93]
5186
5187s_bfe_u64 s[10:11], s[2:3], src_execz
5188// CHECK: [0x02,0xfc,0x8a,0x93]
5189
5190s_bfe_u64 s[10:11], s[2:3], src_scc
5191// CHECK: [0x02,0xfd,0x8a,0x93]
5192
5193s_bfe_u64 s[10:11], s[2:3], 0xaf123456
5194// CHECK: [0x02,0xff,0x8a,0x93,0x56,0x34,0x12,0xaf]
5195
5196s_bfe_u64 s[10:11], s[2:3], 0x3f717273
5197// CHECK: [0x02,0xff,0x8a,0x93,0x73,0x72,0x71,0x3f]
5198
5199s_bfe_i64 s[10:11], s[2:3], s2
5200// CHECK: [0x02,0x02,0x0a,0x94]
5201
5202s_bfe_i64 s[12:13], s[2:3], s2
5203// CHECK: [0x02,0x02,0x0c,0x94]
5204
5205s_bfe_i64 s[100:101], s[2:3], s2
5206// CHECK: [0x02,0x02,0x64,0x94]
5207
5208s_bfe_i64 flat_scratch, s[2:3], s2
5209// CHECK: [0x02,0x02,0x66,0x94]
5210
5211s_bfe_i64 vcc, s[2:3], s2
5212// CHECK: [0x02,0x02,0x6a,0x94]
5213
5214s_bfe_i64 ttmp[14:15], s[2:3], s2
5215// CHECK: [0x02,0x02,0x7a,0x94]
5216
5217s_bfe_i64 exec, s[2:3], s2
5218// CHECK: [0x02,0x02,0x7e,0x94]
5219
5220s_bfe_i64 s[10:11], s[4:5], s2
5221// CHECK: [0x04,0x02,0x0a,0x94]
5222
5223s_bfe_i64 s[10:11], s[100:101], s2
5224// CHECK: [0x64,0x02,0x0a,0x94]
5225
5226s_bfe_i64 s[10:11], flat_scratch, s2
5227// CHECK: [0x66,0x02,0x0a,0x94]
5228
5229s_bfe_i64 s[10:11], vcc, s2
5230// CHECK: [0x6a,0x02,0x0a,0x94]
5231
5232s_bfe_i64 s[10:11], ttmp[14:15], s2
5233// CHECK: [0x7a,0x02,0x0a,0x94]
5234
5235s_bfe_i64 s[10:11], exec, s2
5236// CHECK: [0x7e,0x02,0x0a,0x94]
5237
5238s_bfe_i64 s[10:11], 0, s2
5239// CHECK: [0x80,0x02,0x0a,0x94]
5240
5241s_bfe_i64 s[10:11], -1, s2
5242// CHECK: [0xc1,0x02,0x0a,0x94]
5243
5244s_bfe_i64 s[10:11], 0.5, s2
5245// CHECK: [0xf0,0x02,0x0a,0x94]
5246
5247s_bfe_i64 s[10:11], -4.0, s2
5248// CHECK: [0xf7,0x02,0x0a,0x94]
5249
5250s_bfe_i64 s[10:11], src_vccz, s2
5251// CHECK: [0xfb,0x02,0x0a,0x94]
5252
5253s_bfe_i64 s[10:11], src_execz, s2
5254// CHECK: [0xfc,0x02,0x0a,0x94]
5255
5256s_bfe_i64 s[10:11], src_scc, s2
5257// CHECK: [0xfd,0x02,0x0a,0x94]
5258
5259s_bfe_i64 s[10:11], 0xaf123456, s2
5260// CHECK: [0xff,0x02,0x0a,0x94,0x56,0x34,0x12,0xaf]
5261
5262s_bfe_i64 s[10:11], 0x3f717273, s2
5263// CHECK: [0xff,0x02,0x0a,0x94,0x73,0x72,0x71,0x3f]
5264
5265s_bfe_i64 s[10:11], s[2:3], s101
5266// CHECK: [0x02,0x65,0x0a,0x94]
5267
5268s_bfe_i64 s[10:11], s[2:3], flat_scratch_lo
5269// CHECK: [0x02,0x66,0x0a,0x94]
5270
5271s_bfe_i64 s[10:11], s[2:3], flat_scratch_hi
5272// CHECK: [0x02,0x67,0x0a,0x94]
5273
5274s_bfe_i64 s[10:11], s[2:3], vcc_lo
5275// CHECK: [0x02,0x6a,0x0a,0x94]
5276
5277s_bfe_i64 s[10:11], s[2:3], vcc_hi
5278// CHECK: [0x02,0x6b,0x0a,0x94]
5279
5280s_bfe_i64 s[10:11], s[2:3], ttmp15
5281// CHECK: [0x02,0x7b,0x0a,0x94]
5282
5283s_bfe_i64 s[10:11], s[2:3], m0
5284// CHECK: [0x02,0x7c,0x0a,0x94]
5285
5286s_bfe_i64 s[10:11], s[2:3], exec_lo
5287// CHECK: [0x02,0x7e,0x0a,0x94]
5288
5289s_bfe_i64 s[10:11], s[2:3], exec_hi
5290// CHECK: [0x02,0x7f,0x0a,0x94]
5291
5292s_bfe_i64 s[10:11], s[2:3], 0
5293// CHECK: [0x02,0x80,0x0a,0x94]
5294
5295s_bfe_i64 s[10:11], s[2:3], -1
5296// CHECK: [0x02,0xc1,0x0a,0x94]
5297
5298s_bfe_i64 s[10:11], s[2:3], 0.5
5299// CHECK: [0x02,0xf0,0x0a,0x94]
5300
5301s_bfe_i64 s[10:11], s[2:3], -4.0
5302// CHECK: [0x02,0xf7,0x0a,0x94]
5303
5304s_bfe_i64 s[10:11], s[2:3], src_vccz
5305// CHECK: [0x02,0xfb,0x0a,0x94]
5306
5307s_bfe_i64 s[10:11], s[2:3], src_execz
5308// CHECK: [0x02,0xfc,0x0a,0x94]
5309
5310s_bfe_i64 s[10:11], s[2:3], src_scc
5311// CHECK: [0x02,0xfd,0x0a,0x94]
5312
5313s_bfe_i64 s[10:11], s[2:3], 0xaf123456
5314// CHECK: [0x02,0xff,0x0a,0x94,0x56,0x34,0x12,0xaf]
5315
5316s_bfe_i64 s[10:11], s[2:3], 0x3f717273
5317// CHECK: [0x02,0xff,0x0a,0x94,0x73,0x72,0x71,0x3f]
5318
5319s_cbranch_g_fork s[2:3], s[4:5]
5320// CHECK: [0x02,0x04,0x80,0x94]
5321
5322s_cbranch_g_fork s[4:5], s[4:5]
5323// CHECK: [0x04,0x04,0x80,0x94]
5324
5325s_cbranch_g_fork s[100:101], s[4:5]
5326// CHECK: [0x64,0x04,0x80,0x94]
5327
5328s_cbranch_g_fork flat_scratch, s[4:5]
5329// CHECK: [0x66,0x04,0x80,0x94]
5330
5331s_cbranch_g_fork vcc, s[4:5]
5332// CHECK: [0x6a,0x04,0x80,0x94]
5333
5334s_cbranch_g_fork ttmp[14:15], s[4:5]
5335// CHECK: [0x7a,0x04,0x80,0x94]
5336
5337s_cbranch_g_fork exec, s[4:5]
5338// CHECK: [0x7e,0x04,0x80,0x94]
5339
5340s_cbranch_g_fork 0, s[4:5]
5341// CHECK: [0x80,0x04,0x80,0x94]
5342
5343s_cbranch_g_fork -1, s[4:5]
5344// CHECK: [0xc1,0x04,0x80,0x94]
5345
5346s_cbranch_g_fork 0.5, s[4:5]
5347// CHECK: [0xf0,0x04,0x80,0x94]
5348
5349s_cbranch_g_fork -4.0, s[4:5]
5350// CHECK: [0xf7,0x04,0x80,0x94]
5351
5352s_cbranch_g_fork src_vccz, s[4:5]
5353// CHECK: [0xfb,0x04,0x80,0x94]
5354
5355s_cbranch_g_fork src_execz, s[4:5]
5356// CHECK: [0xfc,0x04,0x80,0x94]
5357
5358s_cbranch_g_fork src_scc, s[4:5]
5359// CHECK: [0xfd,0x04,0x80,0x94]
5360
5361s_cbranch_g_fork s[2:3], s[6:7]
5362// CHECK: [0x02,0x06,0x80,0x94]
5363
5364s_cbranch_g_fork s[2:3], s[100:101]
5365// CHECK: [0x02,0x64,0x80,0x94]
5366
5367s_cbranch_g_fork s[2:3], flat_scratch
5368// CHECK: [0x02,0x66,0x80,0x94]
5369
5370s_cbranch_g_fork s[2:3], vcc
5371// CHECK: [0x02,0x6a,0x80,0x94]
5372
5373s_cbranch_g_fork s[2:3], ttmp[14:15]
5374// CHECK: [0x02,0x7a,0x80,0x94]
5375
5376s_cbranch_g_fork s[2:3], exec
5377// CHECK: [0x02,0x7e,0x80,0x94]
5378
5379s_cbranch_g_fork s[2:3], 0
5380// CHECK: [0x02,0x80,0x80,0x94]
5381
5382s_cbranch_g_fork s[2:3], -1
5383// CHECK: [0x02,0xc1,0x80,0x94]
5384
5385s_cbranch_g_fork s[2:3], 0.5
5386// CHECK: [0x02,0xf0,0x80,0x94]
5387
5388s_cbranch_g_fork s[2:3], -4.0
5389// CHECK: [0x02,0xf7,0x80,0x94]
5390
5391s_cbranch_g_fork s[2:3], src_vccz
5392// CHECK: [0x02,0xfb,0x80,0x94]
5393
5394s_cbranch_g_fork s[2:3], src_execz
5395// CHECK: [0x02,0xfc,0x80,0x94]
5396
5397s_cbranch_g_fork s[2:3], src_scc
5398// CHECK: [0x02,0xfd,0x80,0x94]
5399
5400s_absdiff_i32 s5, s1, s2
5401// CHECK: [0x01,0x02,0x05,0x95]
5402
5403s_absdiff_i32 s101, s1, s2
5404// CHECK: [0x01,0x02,0x65,0x95]
5405
5406s_absdiff_i32 flat_scratch_lo, s1, s2
5407// CHECK: [0x01,0x02,0x66,0x95]
5408
5409s_absdiff_i32 flat_scratch_hi, s1, s2
5410// CHECK: [0x01,0x02,0x67,0x95]
5411
5412s_absdiff_i32 vcc_lo, s1, s2
5413// CHECK: [0x01,0x02,0x6a,0x95]
5414
5415s_absdiff_i32 vcc_hi, s1, s2
5416// CHECK: [0x01,0x02,0x6b,0x95]
5417
5418s_absdiff_i32 ttmp15, s1, s2
5419// CHECK: [0x01,0x02,0x7b,0x95]
5420
5421s_absdiff_i32 m0, s1, s2
5422// CHECK: [0x01,0x02,0x7c,0x95]
5423
5424s_absdiff_i32 exec_lo, s1, s2
5425// CHECK: [0x01,0x02,0x7e,0x95]
5426
5427s_absdiff_i32 exec_hi, s1, s2
5428// CHECK: [0x01,0x02,0x7f,0x95]
5429
5430s_absdiff_i32 s5, s101, s2
5431// CHECK: [0x65,0x02,0x05,0x95]
5432
5433s_absdiff_i32 s5, flat_scratch_lo, s2
5434// CHECK: [0x66,0x02,0x05,0x95]
5435
5436s_absdiff_i32 s5, flat_scratch_hi, s2
5437// CHECK: [0x67,0x02,0x05,0x95]
5438
5439s_absdiff_i32 s5, vcc_lo, s2
5440// CHECK: [0x6a,0x02,0x05,0x95]
5441
5442s_absdiff_i32 s5, vcc_hi, s2
5443// CHECK: [0x6b,0x02,0x05,0x95]
5444
5445s_absdiff_i32 s5, ttmp15, s2
5446// CHECK: [0x7b,0x02,0x05,0x95]
5447
5448s_absdiff_i32 s5, m0, s2
5449// CHECK: [0x7c,0x02,0x05,0x95]
5450
5451s_absdiff_i32 s5, exec_lo, s2
5452// CHECK: [0x7e,0x02,0x05,0x95]
5453
5454s_absdiff_i32 s5, exec_hi, s2
5455// CHECK: [0x7f,0x02,0x05,0x95]
5456
5457s_absdiff_i32 s5, 0, s2
5458// CHECK: [0x80,0x02,0x05,0x95]
5459
5460s_absdiff_i32 s5, -1, s2
5461// CHECK: [0xc1,0x02,0x05,0x95]
5462
5463s_absdiff_i32 s5, 0.5, s2
5464// CHECK: [0xf0,0x02,0x05,0x95]
5465
5466s_absdiff_i32 s5, -4.0, s2
5467// CHECK: [0xf7,0x02,0x05,0x95]
5468
5469s_absdiff_i32 s5, src_vccz, s2
5470// CHECK: [0xfb,0x02,0x05,0x95]
5471
5472s_absdiff_i32 s5, src_execz, s2
5473// CHECK: [0xfc,0x02,0x05,0x95]
5474
5475s_absdiff_i32 s5, src_scc, s2
5476// CHECK: [0xfd,0x02,0x05,0x95]
5477
5478s_absdiff_i32 s5, 0xaf123456, s2
5479// CHECK: [0xff,0x02,0x05,0x95,0x56,0x34,0x12,0xaf]
5480
5481s_absdiff_i32 s5, 0x3f717273, s2
5482// CHECK: [0xff,0x02,0x05,0x95,0x73,0x72,0x71,0x3f]
5483
5484s_absdiff_i32 s5, s1, s101
5485// CHECK: [0x01,0x65,0x05,0x95]
5486
5487s_absdiff_i32 s5, s1, flat_scratch_lo
5488// CHECK: [0x01,0x66,0x05,0x95]
5489
5490s_absdiff_i32 s5, s1, flat_scratch_hi
5491// CHECK: [0x01,0x67,0x05,0x95]
5492
5493s_absdiff_i32 s5, s1, vcc_lo
5494// CHECK: [0x01,0x6a,0x05,0x95]
5495
5496s_absdiff_i32 s5, s1, vcc_hi
5497// CHECK: [0x01,0x6b,0x05,0x95]
5498
5499s_absdiff_i32 s5, s1, ttmp15
5500// CHECK: [0x01,0x7b,0x05,0x95]
5501
5502s_absdiff_i32 s5, s1, m0
5503// CHECK: [0x01,0x7c,0x05,0x95]
5504
5505s_absdiff_i32 s5, s1, exec_lo
5506// CHECK: [0x01,0x7e,0x05,0x95]
5507
5508s_absdiff_i32 s5, s1, exec_hi
5509// CHECK: [0x01,0x7f,0x05,0x95]
5510
5511s_absdiff_i32 s5, s1, 0
5512// CHECK: [0x01,0x80,0x05,0x95]
5513
5514s_absdiff_i32 s5, s1, -1
5515// CHECK: [0x01,0xc1,0x05,0x95]
5516
5517s_absdiff_i32 s5, s1, 0.5
5518// CHECK: [0x01,0xf0,0x05,0x95]
5519
5520s_absdiff_i32 s5, s1, -4.0
5521// CHECK: [0x01,0xf7,0x05,0x95]
5522
5523s_absdiff_i32 s5, s1, src_vccz
5524// CHECK: [0x01,0xfb,0x05,0x95]
5525
5526s_absdiff_i32 s5, s1, src_execz
5527// CHECK: [0x01,0xfc,0x05,0x95]
5528
5529s_absdiff_i32 s5, s1, src_scc
5530// CHECK: [0x01,0xfd,0x05,0x95]
5531
5532s_absdiff_i32 s5, s1, 0xaf123456
5533// CHECK: [0x01,0xff,0x05,0x95,0x56,0x34,0x12,0xaf]
5534
5535s_absdiff_i32 s5, s1, 0x3f717273
5536// CHECK: [0x01,0xff,0x05,0x95,0x73,0x72,0x71,0x3f]
5537
5538s_rfe_restore_b64 s[2:3], s2
5539// CHECK: [0x02,0x02,0x80,0x95]
5540
5541s_rfe_restore_b64 s[4:5], s2
5542// CHECK: [0x04,0x02,0x80,0x95]
5543
5544s_rfe_restore_b64 s[100:101], s2
5545// CHECK: [0x64,0x02,0x80,0x95]
5546
5547s_rfe_restore_b64 flat_scratch, s2
5548// CHECK: [0x66,0x02,0x80,0x95]
5549
5550s_rfe_restore_b64 vcc, s2
5551// CHECK: [0x6a,0x02,0x80,0x95]
5552
5553s_rfe_restore_b64 ttmp[14:15], s2
5554// CHECK: [0x7a,0x02,0x80,0x95]
5555
5556s_rfe_restore_b64 exec, s2
5557// CHECK: [0x7e,0x02,0x80,0x95]
5558
5559s_rfe_restore_b64 0, s2
5560// CHECK: [0x80,0x02,0x80,0x95]
5561
5562s_rfe_restore_b64 -1, s2
5563// CHECK: [0xc1,0x02,0x80,0x95]
5564
5565s_rfe_restore_b64 0.5, s2
5566// CHECK: [0xf0,0x02,0x80,0x95]
5567
5568s_rfe_restore_b64 -4.0, s2
5569// CHECK: [0xf7,0x02,0x80,0x95]
5570
5571s_rfe_restore_b64 src_vccz, s2
5572// CHECK: [0xfb,0x02,0x80,0x95]
5573
5574s_rfe_restore_b64 src_execz, s2
5575// CHECK: [0xfc,0x02,0x80,0x95]
5576
5577s_rfe_restore_b64 src_scc, s2
5578// CHECK: [0xfd,0x02,0x80,0x95]
5579
5580s_rfe_restore_b64 0xaf123456, s2
5581// CHECK: [0xff,0x02,0x80,0x95,0x56,0x34,0x12,0xaf]
5582
5583s_rfe_restore_b64 0x3f717273, s2
5584// CHECK: [0xff,0x02,0x80,0x95,0x73,0x72,0x71,0x3f]
5585
5586s_rfe_restore_b64 s[2:3], s101
5587// CHECK: [0x02,0x65,0x80,0x95]
5588
5589s_rfe_restore_b64 s[2:3], flat_scratch_lo
5590// CHECK: [0x02,0x66,0x80,0x95]
5591
5592s_rfe_restore_b64 s[2:3], flat_scratch_hi
5593// CHECK: [0x02,0x67,0x80,0x95]
5594
5595s_rfe_restore_b64 s[2:3], vcc_lo
5596// CHECK: [0x02,0x6a,0x80,0x95]
5597
5598s_rfe_restore_b64 s[2:3], vcc_hi
5599// CHECK: [0x02,0x6b,0x80,0x95]
5600
5601s_rfe_restore_b64 s[2:3], ttmp15
5602// CHECK: [0x02,0x7b,0x80,0x95]
5603
5604s_rfe_restore_b64 s[2:3], m0
5605// CHECK: [0x02,0x7c,0x80,0x95]
5606
5607s_rfe_restore_b64 s[2:3], exec_lo
5608// CHECK: [0x02,0x7e,0x80,0x95]
5609
5610s_rfe_restore_b64 s[2:3], exec_hi
5611// CHECK: [0x02,0x7f,0x80,0x95]
5612
5613s_rfe_restore_b64 s[2:3], 0
5614// CHECK: [0x02,0x80,0x80,0x95]
5615
5616s_rfe_restore_b64 s[2:3], -1
5617// CHECK: [0x02,0xc1,0x80,0x95]
5618
5619s_rfe_restore_b64 s[2:3], 0.5
5620// CHECK: [0x02,0xf0,0x80,0x95]
5621
5622s_rfe_restore_b64 s[2:3], -4.0
5623// CHECK: [0x02,0xf7,0x80,0x95]
5624
5625s_rfe_restore_b64 s[2:3], src_vccz
5626// CHECK: [0x02,0xfb,0x80,0x95]
5627
5628s_rfe_restore_b64 s[2:3], src_execz
5629// CHECK: [0x02,0xfc,0x80,0x95]
5630
5631s_rfe_restore_b64 s[2:3], src_scc
5632// CHECK: [0x02,0xfd,0x80,0x95]
5633
5634s_rfe_restore_b64 s[2:3], 0xaf123456
5635// CHECK: [0x02,0xff,0x80,0x95,0x56,0x34,0x12,0xaf]
5636
5637s_rfe_restore_b64 s[2:3], 0x3f717273
5638// CHECK: [0x02,0xff,0x80,0x95,0x73,0x72,0x71,0x3f]
5639
5640s_mul_hi_u32 s5, s1, s2
5641// CHECK: [0x01,0x02,0x05,0x96]
5642
5643s_mul_hi_u32 s101, s1, s2
5644// CHECK: [0x01,0x02,0x65,0x96]
5645
5646s_mul_hi_u32 flat_scratch_lo, s1, s2
5647// CHECK: [0x01,0x02,0x66,0x96]
5648
5649s_mul_hi_u32 flat_scratch_hi, s1, s2
5650// CHECK: [0x01,0x02,0x67,0x96]
5651
5652s_mul_hi_u32 vcc_lo, s1, s2
5653// CHECK: [0x01,0x02,0x6a,0x96]
5654
5655s_mul_hi_u32 vcc_hi, s1, s2
5656// CHECK: [0x01,0x02,0x6b,0x96]
5657
5658s_mul_hi_u32 ttmp15, s1, s2
5659// CHECK: [0x01,0x02,0x7b,0x96]
5660
5661s_mul_hi_u32 m0, s1, s2
5662// CHECK: [0x01,0x02,0x7c,0x96]
5663
5664s_mul_hi_u32 exec_lo, s1, s2
5665// CHECK: [0x01,0x02,0x7e,0x96]
5666
5667s_mul_hi_u32 exec_hi, s1, s2
5668// CHECK: [0x01,0x02,0x7f,0x96]
5669
5670s_mul_hi_u32 s5, s101, s2
5671// CHECK: [0x65,0x02,0x05,0x96]
5672
5673s_mul_hi_u32 s5, flat_scratch_lo, s2
5674// CHECK: [0x66,0x02,0x05,0x96]
5675
5676s_mul_hi_u32 s5, flat_scratch_hi, s2
5677// CHECK: [0x67,0x02,0x05,0x96]
5678
5679s_mul_hi_u32 s5, vcc_lo, s2
5680// CHECK: [0x6a,0x02,0x05,0x96]
5681
5682s_mul_hi_u32 s5, vcc_hi, s2
5683// CHECK: [0x6b,0x02,0x05,0x96]
5684
5685s_mul_hi_u32 s5, ttmp15, s2
5686// CHECK: [0x7b,0x02,0x05,0x96]
5687
5688s_mul_hi_u32 s5, m0, s2
5689// CHECK: [0x7c,0x02,0x05,0x96]
5690
5691s_mul_hi_u32 s5, exec_lo, s2
5692// CHECK: [0x7e,0x02,0x05,0x96]
5693
5694s_mul_hi_u32 s5, exec_hi, s2
5695// CHECK: [0x7f,0x02,0x05,0x96]
5696
5697s_mul_hi_u32 s5, 0, s2
5698// CHECK: [0x80,0x02,0x05,0x96]
5699
5700s_mul_hi_u32 s5, -1, s2
5701// CHECK: [0xc1,0x02,0x05,0x96]
5702
5703s_mul_hi_u32 s5, 0.5, s2
5704// CHECK: [0xf0,0x02,0x05,0x96]
5705
5706s_mul_hi_u32 s5, -4.0, s2
5707// CHECK: [0xf7,0x02,0x05,0x96]
5708
5709s_mul_hi_u32 s5, src_vccz, s2
5710// CHECK: [0xfb,0x02,0x05,0x96]
5711
5712s_mul_hi_u32 s5, src_execz, s2
5713// CHECK: [0xfc,0x02,0x05,0x96]
5714
5715s_mul_hi_u32 s5, src_scc, s2
5716// CHECK: [0xfd,0x02,0x05,0x96]
5717
5718s_mul_hi_u32 s5, 0xaf123456, s2
5719// CHECK: [0xff,0x02,0x05,0x96,0x56,0x34,0x12,0xaf]
5720
5721s_mul_hi_u32 s5, 0x3f717273, s2
5722// CHECK: [0xff,0x02,0x05,0x96,0x73,0x72,0x71,0x3f]
5723
5724s_mul_hi_u32 s5, s1, s101
5725// CHECK: [0x01,0x65,0x05,0x96]
5726
5727s_mul_hi_u32 s5, s1, flat_scratch_lo
5728// CHECK: [0x01,0x66,0x05,0x96]
5729
5730s_mul_hi_u32 s5, s1, flat_scratch_hi
5731// CHECK: [0x01,0x67,0x05,0x96]
5732
5733s_mul_hi_u32 s5, s1, vcc_lo
5734// CHECK: [0x01,0x6a,0x05,0x96]
5735
5736s_mul_hi_u32 s5, s1, vcc_hi
5737// CHECK: [0x01,0x6b,0x05,0x96]
5738
5739s_mul_hi_u32 s5, s1, ttmp15
5740// CHECK: [0x01,0x7b,0x05,0x96]
5741
5742s_mul_hi_u32 s5, s1, m0
5743// CHECK: [0x01,0x7c,0x05,0x96]
5744
5745s_mul_hi_u32 s5, s1, exec_lo
5746// CHECK: [0x01,0x7e,0x05,0x96]
5747
5748s_mul_hi_u32 s5, s1, exec_hi
5749// CHECK: [0x01,0x7f,0x05,0x96]
5750
5751s_mul_hi_u32 s5, s1, 0
5752// CHECK: [0x01,0x80,0x05,0x96]
5753
5754s_mul_hi_u32 s5, s1, -1
5755// CHECK: [0x01,0xc1,0x05,0x96]
5756
5757s_mul_hi_u32 s5, s1, 0.5
5758// CHECK: [0x01,0xf0,0x05,0x96]
5759
5760s_mul_hi_u32 s5, s1, -4.0
5761// CHECK: [0x01,0xf7,0x05,0x96]
5762
5763s_mul_hi_u32 s5, s1, src_vccz
5764// CHECK: [0x01,0xfb,0x05,0x96]
5765
5766s_mul_hi_u32 s5, s1, src_execz
5767// CHECK: [0x01,0xfc,0x05,0x96]
5768
5769s_mul_hi_u32 s5, s1, src_scc
5770// CHECK: [0x01,0xfd,0x05,0x96]
5771
5772s_mul_hi_u32 s5, s1, 0xaf123456
5773// CHECK: [0x01,0xff,0x05,0x96,0x56,0x34,0x12,0xaf]
5774
5775s_mul_hi_u32 s5, s1, 0x3f717273
5776// CHECK: [0x01,0xff,0x05,0x96,0x73,0x72,0x71,0x3f]
5777
5778s_mul_hi_i32 s5, s1, s2
5779// CHECK: [0x01,0x02,0x85,0x96]
5780
5781s_mul_hi_i32 s101, s1, s2
5782// CHECK: [0x01,0x02,0xe5,0x96]
5783
5784s_mul_hi_i32 flat_scratch_lo, s1, s2
5785// CHECK: [0x01,0x02,0xe6,0x96]
5786
5787s_mul_hi_i32 flat_scratch_hi, s1, s2
5788// CHECK: [0x01,0x02,0xe7,0x96]
5789
5790s_mul_hi_i32 vcc_lo, s1, s2
5791// CHECK: [0x01,0x02,0xea,0x96]
5792
5793s_mul_hi_i32 vcc_hi, s1, s2
5794// CHECK: [0x01,0x02,0xeb,0x96]
5795
5796s_mul_hi_i32 ttmp15, s1, s2
5797// CHECK: [0x01,0x02,0xfb,0x96]
5798
5799s_mul_hi_i32 m0, s1, s2
5800// CHECK: [0x01,0x02,0xfc,0x96]
5801
5802s_mul_hi_i32 exec_lo, s1, s2
5803// CHECK: [0x01,0x02,0xfe,0x96]
5804
5805s_mul_hi_i32 exec_hi, s1, s2
5806// CHECK: [0x01,0x02,0xff,0x96]
5807
5808s_mul_hi_i32 s5, s101, s2
5809// CHECK: [0x65,0x02,0x85,0x96]
5810
5811s_mul_hi_i32 s5, flat_scratch_lo, s2
5812// CHECK: [0x66,0x02,0x85,0x96]
5813
5814s_mul_hi_i32 s5, flat_scratch_hi, s2
5815// CHECK: [0x67,0x02,0x85,0x96]
5816
5817s_mul_hi_i32 s5, vcc_lo, s2
5818// CHECK: [0x6a,0x02,0x85,0x96]
5819
5820s_mul_hi_i32 s5, vcc_hi, s2
5821// CHECK: [0x6b,0x02,0x85,0x96]
5822
5823s_mul_hi_i32 s5, ttmp15, s2
5824// CHECK: [0x7b,0x02,0x85,0x96]
5825
5826s_mul_hi_i32 s5, m0, s2
5827// CHECK: [0x7c,0x02,0x85,0x96]
5828
5829s_mul_hi_i32 s5, exec_lo, s2
5830// CHECK: [0x7e,0x02,0x85,0x96]
5831
5832s_mul_hi_i32 s5, exec_hi, s2
5833// CHECK: [0x7f,0x02,0x85,0x96]
5834
5835s_mul_hi_i32 s5, 0, s2
5836// CHECK: [0x80,0x02,0x85,0x96]
5837
5838s_mul_hi_i32 s5, -1, s2
5839// CHECK: [0xc1,0x02,0x85,0x96]
5840
5841s_mul_hi_i32 s5, 0.5, s2
5842// CHECK: [0xf0,0x02,0x85,0x96]
5843
5844s_mul_hi_i32 s5, -4.0, s2
5845// CHECK: [0xf7,0x02,0x85,0x96]
5846
5847s_mul_hi_i32 s5, src_vccz, s2
5848// CHECK: [0xfb,0x02,0x85,0x96]
5849
5850s_mul_hi_i32 s5, src_execz, s2
5851// CHECK: [0xfc,0x02,0x85,0x96]
5852
5853s_mul_hi_i32 s5, src_scc, s2
5854// CHECK: [0xfd,0x02,0x85,0x96]
5855
5856s_mul_hi_i32 s5, 0xaf123456, s2
5857// CHECK: [0xff,0x02,0x85,0x96,0x56,0x34,0x12,0xaf]
5858
5859s_mul_hi_i32 s5, 0x3f717273, s2
5860// CHECK: [0xff,0x02,0x85,0x96,0x73,0x72,0x71,0x3f]
5861
5862s_mul_hi_i32 s5, s1, s101
5863// CHECK: [0x01,0x65,0x85,0x96]
5864
5865s_mul_hi_i32 s5, s1, flat_scratch_lo
5866// CHECK: [0x01,0x66,0x85,0x96]
5867
5868s_mul_hi_i32 s5, s1, flat_scratch_hi
5869// CHECK: [0x01,0x67,0x85,0x96]
5870
5871s_mul_hi_i32 s5, s1, vcc_lo
5872// CHECK: [0x01,0x6a,0x85,0x96]
5873
5874s_mul_hi_i32 s5, s1, vcc_hi
5875// CHECK: [0x01,0x6b,0x85,0x96]
5876
5877s_mul_hi_i32 s5, s1, ttmp15
5878// CHECK: [0x01,0x7b,0x85,0x96]
5879
5880s_mul_hi_i32 s5, s1, m0
5881// CHECK: [0x01,0x7c,0x85,0x96]
5882
5883s_mul_hi_i32 s5, s1, exec_lo
5884// CHECK: [0x01,0x7e,0x85,0x96]
5885
5886s_mul_hi_i32 s5, s1, exec_hi
5887// CHECK: [0x01,0x7f,0x85,0x96]
5888
5889s_mul_hi_i32 s5, s1, 0
5890// CHECK: [0x01,0x80,0x85,0x96]
5891
5892s_mul_hi_i32 s5, s1, -1
5893// CHECK: [0x01,0xc1,0x85,0x96]
5894
5895s_mul_hi_i32 s5, s1, 0.5
5896// CHECK: [0x01,0xf0,0x85,0x96]
5897
5898s_mul_hi_i32 s5, s1, -4.0
5899// CHECK: [0x01,0xf7,0x85,0x96]
5900
5901s_mul_hi_i32 s5, s1, src_vccz
5902// CHECK: [0x01,0xfb,0x85,0x96]
5903
5904s_mul_hi_i32 s5, s1, src_execz
5905// CHECK: [0x01,0xfc,0x85,0x96]
5906
5907s_mul_hi_i32 s5, s1, src_scc
5908// CHECK: [0x01,0xfd,0x85,0x96]
5909
5910s_mul_hi_i32 s5, s1, 0xaf123456
5911// CHECK: [0x01,0xff,0x85,0x96,0x56,0x34,0x12,0xaf]
5912
5913s_mul_hi_i32 s5, s1, 0x3f717273
5914// CHECK: [0x01,0xff,0x85,0x96,0x73,0x72,0x71,0x3f]
5915
5916s_lshl1_add_u32 s5, s1, s2
5917// CHECK: [0x01,0x02,0x05,0x97]
5918
5919s_lshl1_add_u32 s101, s1, s2
5920// CHECK: [0x01,0x02,0x65,0x97]
5921
5922s_lshl1_add_u32 flat_scratch_lo, s1, s2
5923// CHECK: [0x01,0x02,0x66,0x97]
5924
5925s_lshl1_add_u32 flat_scratch_hi, s1, s2
5926// CHECK: [0x01,0x02,0x67,0x97]
5927
5928s_lshl1_add_u32 vcc_lo, s1, s2
5929// CHECK: [0x01,0x02,0x6a,0x97]
5930
5931s_lshl1_add_u32 vcc_hi, s1, s2
5932// CHECK: [0x01,0x02,0x6b,0x97]
5933
5934s_lshl1_add_u32 ttmp15, s1, s2
5935// CHECK: [0x01,0x02,0x7b,0x97]
5936
5937s_lshl1_add_u32 m0, s1, s2
5938// CHECK: [0x01,0x02,0x7c,0x97]
5939
5940s_lshl1_add_u32 exec_lo, s1, s2
5941// CHECK: [0x01,0x02,0x7e,0x97]
5942
5943s_lshl1_add_u32 exec_hi, s1, s2
5944// CHECK: [0x01,0x02,0x7f,0x97]
5945
5946s_lshl1_add_u32 s5, s101, s2
5947// CHECK: [0x65,0x02,0x05,0x97]
5948
5949s_lshl1_add_u32 s5, flat_scratch_lo, s2
5950// CHECK: [0x66,0x02,0x05,0x97]
5951
5952s_lshl1_add_u32 s5, flat_scratch_hi, s2
5953// CHECK: [0x67,0x02,0x05,0x97]
5954
5955s_lshl1_add_u32 s5, vcc_lo, s2
5956// CHECK: [0x6a,0x02,0x05,0x97]
5957
5958s_lshl1_add_u32 s5, vcc_hi, s2
5959// CHECK: [0x6b,0x02,0x05,0x97]
5960
5961s_lshl1_add_u32 s5, ttmp15, s2
5962// CHECK: [0x7b,0x02,0x05,0x97]
5963
5964s_lshl1_add_u32 s5, m0, s2
5965// CHECK: [0x7c,0x02,0x05,0x97]
5966
5967s_lshl1_add_u32 s5, exec_lo, s2
5968// CHECK: [0x7e,0x02,0x05,0x97]
5969
5970s_lshl1_add_u32 s5, exec_hi, s2
5971// CHECK: [0x7f,0x02,0x05,0x97]
5972
5973s_lshl1_add_u32 s5, 0, s2
5974// CHECK: [0x80,0x02,0x05,0x97]
5975
5976s_lshl1_add_u32 s5, -1, s2
5977// CHECK: [0xc1,0x02,0x05,0x97]
5978
5979s_lshl1_add_u32 s5, 0.5, s2
5980// CHECK: [0xf0,0x02,0x05,0x97]
5981
5982s_lshl1_add_u32 s5, -4.0, s2
5983// CHECK: [0xf7,0x02,0x05,0x97]
5984
5985s_lshl1_add_u32 s5, src_vccz, s2
5986// CHECK: [0xfb,0x02,0x05,0x97]
5987
5988s_lshl1_add_u32 s5, src_execz, s2
5989// CHECK: [0xfc,0x02,0x05,0x97]
5990
5991s_lshl1_add_u32 s5, src_scc, s2
5992// CHECK: [0xfd,0x02,0x05,0x97]
5993
5994s_lshl1_add_u32 s5, 0xaf123456, s2
5995// CHECK: [0xff,0x02,0x05,0x97,0x56,0x34,0x12,0xaf]
5996
5997s_lshl1_add_u32 s5, 0x3f717273, s2
5998// CHECK: [0xff,0x02,0x05,0x97,0x73,0x72,0x71,0x3f]
5999
6000s_lshl1_add_u32 s5, s1, s101
6001// CHECK: [0x01,0x65,0x05,0x97]
6002
6003s_lshl1_add_u32 s5, s1, flat_scratch_lo
6004// CHECK: [0x01,0x66,0x05,0x97]
6005
6006s_lshl1_add_u32 s5, s1, flat_scratch_hi
6007// CHECK: [0x01,0x67,0x05,0x97]
6008
6009s_lshl1_add_u32 s5, s1, vcc_lo
6010// CHECK: [0x01,0x6a,0x05,0x97]
6011
6012s_lshl1_add_u32 s5, s1, vcc_hi
6013// CHECK: [0x01,0x6b,0x05,0x97]
6014
6015s_lshl1_add_u32 s5, s1, ttmp15
6016// CHECK: [0x01,0x7b,0x05,0x97]
6017
6018s_lshl1_add_u32 s5, s1, m0
6019// CHECK: [0x01,0x7c,0x05,0x97]
6020
6021s_lshl1_add_u32 s5, s1, exec_lo
6022// CHECK: [0x01,0x7e,0x05,0x97]
6023
6024s_lshl1_add_u32 s5, s1, exec_hi
6025// CHECK: [0x01,0x7f,0x05,0x97]
6026
6027s_lshl1_add_u32 s5, s1, 0
6028// CHECK: [0x01,0x80,0x05,0x97]
6029
6030s_lshl1_add_u32 s5, s1, -1
6031// CHECK: [0x01,0xc1,0x05,0x97]
6032
6033s_lshl1_add_u32 s5, s1, 0.5
6034// CHECK: [0x01,0xf0,0x05,0x97]
6035
6036s_lshl1_add_u32 s5, s1, -4.0
6037// CHECK: [0x01,0xf7,0x05,0x97]
6038
6039s_lshl1_add_u32 s5, s1, src_vccz
6040// CHECK: [0x01,0xfb,0x05,0x97]
6041
6042s_lshl1_add_u32 s5, s1, src_execz
6043// CHECK: [0x01,0xfc,0x05,0x97]
6044
6045s_lshl1_add_u32 s5, s1, src_scc
6046// CHECK: [0x01,0xfd,0x05,0x97]
6047
6048s_lshl1_add_u32 s5, s1, 0xaf123456
6049// CHECK: [0x01,0xff,0x05,0x97,0x56,0x34,0x12,0xaf]
6050
6051s_lshl1_add_u32 s5, s1, 0x3f717273
6052// CHECK: [0x01,0xff,0x05,0x97,0x73,0x72,0x71,0x3f]
6053
6054s_lshl2_add_u32 s5, s1, s2
6055// CHECK: [0x01,0x02,0x85,0x97]
6056
6057s_lshl2_add_u32 s101, s1, s2
6058// CHECK: [0x01,0x02,0xe5,0x97]
6059
6060s_lshl2_add_u32 flat_scratch_lo, s1, s2
6061// CHECK: [0x01,0x02,0xe6,0x97]
6062
6063s_lshl2_add_u32 flat_scratch_hi, s1, s2
6064// CHECK: [0x01,0x02,0xe7,0x97]
6065
6066s_lshl2_add_u32 vcc_lo, s1, s2
6067// CHECK: [0x01,0x02,0xea,0x97]
6068
6069s_lshl2_add_u32 vcc_hi, s1, s2
6070// CHECK: [0x01,0x02,0xeb,0x97]
6071
6072s_lshl2_add_u32 ttmp15, s1, s2
6073// CHECK: [0x01,0x02,0xfb,0x97]
6074
6075s_lshl2_add_u32 m0, s1, s2
6076// CHECK: [0x01,0x02,0xfc,0x97]
6077
6078s_lshl2_add_u32 exec_lo, s1, s2
6079// CHECK: [0x01,0x02,0xfe,0x97]
6080
6081s_lshl2_add_u32 exec_hi, s1, s2
6082// CHECK: [0x01,0x02,0xff,0x97]
6083
6084s_lshl2_add_u32 s5, s101, s2
6085// CHECK: [0x65,0x02,0x85,0x97]
6086
6087s_lshl2_add_u32 s5, flat_scratch_lo, s2
6088// CHECK: [0x66,0x02,0x85,0x97]
6089
6090s_lshl2_add_u32 s5, flat_scratch_hi, s2
6091// CHECK: [0x67,0x02,0x85,0x97]
6092
6093s_lshl2_add_u32 s5, vcc_lo, s2
6094// CHECK: [0x6a,0x02,0x85,0x97]
6095
6096s_lshl2_add_u32 s5, vcc_hi, s2
6097// CHECK: [0x6b,0x02,0x85,0x97]
6098
6099s_lshl2_add_u32 s5, ttmp15, s2
6100// CHECK: [0x7b,0x02,0x85,0x97]
6101
6102s_lshl2_add_u32 s5, m0, s2
6103// CHECK: [0x7c,0x02,0x85,0x97]
6104
6105s_lshl2_add_u32 s5, exec_lo, s2
6106// CHECK: [0x7e,0x02,0x85,0x97]
6107
6108s_lshl2_add_u32 s5, exec_hi, s2
6109// CHECK: [0x7f,0x02,0x85,0x97]
6110
6111s_lshl2_add_u32 s5, 0, s2
6112// CHECK: [0x80,0x02,0x85,0x97]
6113
6114s_lshl2_add_u32 s5, -1, s2
6115// CHECK: [0xc1,0x02,0x85,0x97]
6116
6117s_lshl2_add_u32 s5, 0.5, s2
6118// CHECK: [0xf0,0x02,0x85,0x97]
6119
6120s_lshl2_add_u32 s5, -4.0, s2
6121// CHECK: [0xf7,0x02,0x85,0x97]
6122
6123s_lshl2_add_u32 s5, src_vccz, s2
6124// CHECK: [0xfb,0x02,0x85,0x97]
6125
6126s_lshl2_add_u32 s5, src_execz, s2
6127// CHECK: [0xfc,0x02,0x85,0x97]
6128
6129s_lshl2_add_u32 s5, src_scc, s2
6130// CHECK: [0xfd,0x02,0x85,0x97]
6131
6132s_lshl2_add_u32 s5, 0xaf123456, s2
6133// CHECK: [0xff,0x02,0x85,0x97,0x56,0x34,0x12,0xaf]
6134
6135s_lshl2_add_u32 s5, 0x3f717273, s2
6136// CHECK: [0xff,0x02,0x85,0x97,0x73,0x72,0x71,0x3f]
6137
6138s_lshl2_add_u32 s5, s1, s101
6139// CHECK: [0x01,0x65,0x85,0x97]
6140
6141s_lshl2_add_u32 s5, s1, flat_scratch_lo
6142// CHECK: [0x01,0x66,0x85,0x97]
6143
6144s_lshl2_add_u32 s5, s1, flat_scratch_hi
6145// CHECK: [0x01,0x67,0x85,0x97]
6146
6147s_lshl2_add_u32 s5, s1, vcc_lo
6148// CHECK: [0x01,0x6a,0x85,0x97]
6149
6150s_lshl2_add_u32 s5, s1, vcc_hi
6151// CHECK: [0x01,0x6b,0x85,0x97]
6152
6153s_lshl2_add_u32 s5, s1, ttmp15
6154// CHECK: [0x01,0x7b,0x85,0x97]
6155
6156s_lshl2_add_u32 s5, s1, m0
6157// CHECK: [0x01,0x7c,0x85,0x97]
6158
6159s_lshl2_add_u32 s5, s1, exec_lo
6160// CHECK: [0x01,0x7e,0x85,0x97]
6161
6162s_lshl2_add_u32 s5, s1, exec_hi
6163// CHECK: [0x01,0x7f,0x85,0x97]
6164
6165s_lshl2_add_u32 s5, s1, 0
6166// CHECK: [0x01,0x80,0x85,0x97]
6167
6168s_lshl2_add_u32 s5, s1, -1
6169// CHECK: [0x01,0xc1,0x85,0x97]
6170
6171s_lshl2_add_u32 s5, s1, 0.5
6172// CHECK: [0x01,0xf0,0x85,0x97]
6173
6174s_lshl2_add_u32 s5, s1, -4.0
6175// CHECK: [0x01,0xf7,0x85,0x97]
6176
6177s_lshl2_add_u32 s5, s1, src_vccz
6178// CHECK: [0x01,0xfb,0x85,0x97]
6179
6180s_lshl2_add_u32 s5, s1, src_execz
6181// CHECK: [0x01,0xfc,0x85,0x97]
6182
6183s_lshl2_add_u32 s5, s1, src_scc
6184// CHECK: [0x01,0xfd,0x85,0x97]
6185
6186s_lshl2_add_u32 s5, s1, 0xaf123456
6187// CHECK: [0x01,0xff,0x85,0x97,0x56,0x34,0x12,0xaf]
6188
6189s_lshl2_add_u32 s5, s1, 0x3f717273
6190// CHECK: [0x01,0xff,0x85,0x97,0x73,0x72,0x71,0x3f]
6191
6192s_lshl3_add_u32 s5, s1, s2
6193// CHECK: [0x01,0x02,0x05,0x98]
6194
6195s_lshl3_add_u32 s101, s1, s2
6196// CHECK: [0x01,0x02,0x65,0x98]
6197
6198s_lshl3_add_u32 flat_scratch_lo, s1, s2
6199// CHECK: [0x01,0x02,0x66,0x98]
6200
6201s_lshl3_add_u32 flat_scratch_hi, s1, s2
6202// CHECK: [0x01,0x02,0x67,0x98]
6203
6204s_lshl3_add_u32 vcc_lo, s1, s2
6205// CHECK: [0x01,0x02,0x6a,0x98]
6206
6207s_lshl3_add_u32 vcc_hi, s1, s2
6208// CHECK: [0x01,0x02,0x6b,0x98]
6209
6210s_lshl3_add_u32 ttmp15, s1, s2
6211// CHECK: [0x01,0x02,0x7b,0x98]
6212
6213s_lshl3_add_u32 m0, s1, s2
6214// CHECK: [0x01,0x02,0x7c,0x98]
6215
6216s_lshl3_add_u32 exec_lo, s1, s2
6217// CHECK: [0x01,0x02,0x7e,0x98]
6218
6219s_lshl3_add_u32 exec_hi, s1, s2
6220// CHECK: [0x01,0x02,0x7f,0x98]
6221
6222s_lshl3_add_u32 s5, s101, s2
6223// CHECK: [0x65,0x02,0x05,0x98]
6224
6225s_lshl3_add_u32 s5, flat_scratch_lo, s2
6226// CHECK: [0x66,0x02,0x05,0x98]
6227
6228s_lshl3_add_u32 s5, flat_scratch_hi, s2
6229// CHECK: [0x67,0x02,0x05,0x98]
6230
6231s_lshl3_add_u32 s5, vcc_lo, s2
6232// CHECK: [0x6a,0x02,0x05,0x98]
6233
6234s_lshl3_add_u32 s5, vcc_hi, s2
6235// CHECK: [0x6b,0x02,0x05,0x98]
6236
6237s_lshl3_add_u32 s5, ttmp15, s2
6238// CHECK: [0x7b,0x02,0x05,0x98]
6239
6240s_lshl3_add_u32 s5, m0, s2
6241// CHECK: [0x7c,0x02,0x05,0x98]
6242
6243s_lshl3_add_u32 s5, exec_lo, s2
6244// CHECK: [0x7e,0x02,0x05,0x98]
6245
6246s_lshl3_add_u32 s5, exec_hi, s2
6247// CHECK: [0x7f,0x02,0x05,0x98]
6248
6249s_lshl3_add_u32 s5, 0, s2
6250// CHECK: [0x80,0x02,0x05,0x98]
6251
6252s_lshl3_add_u32 s5, -1, s2
6253// CHECK: [0xc1,0x02,0x05,0x98]
6254
6255s_lshl3_add_u32 s5, 0.5, s2
6256// CHECK: [0xf0,0x02,0x05,0x98]
6257
6258s_lshl3_add_u32 s5, -4.0, s2
6259// CHECK: [0xf7,0x02,0x05,0x98]
6260
6261s_lshl3_add_u32 s5, src_vccz, s2
6262// CHECK: [0xfb,0x02,0x05,0x98]
6263
6264s_lshl3_add_u32 s5, src_execz, s2
6265// CHECK: [0xfc,0x02,0x05,0x98]
6266
6267s_lshl3_add_u32 s5, src_scc, s2
6268// CHECK: [0xfd,0x02,0x05,0x98]
6269
6270s_lshl3_add_u32 s5, 0xaf123456, s2
6271// CHECK: [0xff,0x02,0x05,0x98,0x56,0x34,0x12,0xaf]
6272
6273s_lshl3_add_u32 s5, 0x3f717273, s2
6274// CHECK: [0xff,0x02,0x05,0x98,0x73,0x72,0x71,0x3f]
6275
6276s_lshl3_add_u32 s5, s1, s101
6277// CHECK: [0x01,0x65,0x05,0x98]
6278
6279s_lshl3_add_u32 s5, s1, flat_scratch_lo
6280// CHECK: [0x01,0x66,0x05,0x98]
6281
6282s_lshl3_add_u32 s5, s1, flat_scratch_hi
6283// CHECK: [0x01,0x67,0x05,0x98]
6284
6285s_lshl3_add_u32 s5, s1, vcc_lo
6286// CHECK: [0x01,0x6a,0x05,0x98]
6287
6288s_lshl3_add_u32 s5, s1, vcc_hi
6289// CHECK: [0x01,0x6b,0x05,0x98]
6290
6291s_lshl3_add_u32 s5, s1, ttmp15
6292// CHECK: [0x01,0x7b,0x05,0x98]
6293
6294s_lshl3_add_u32 s5, s1, m0
6295// CHECK: [0x01,0x7c,0x05,0x98]
6296
6297s_lshl3_add_u32 s5, s1, exec_lo
6298// CHECK: [0x01,0x7e,0x05,0x98]
6299
6300s_lshl3_add_u32 s5, s1, exec_hi
6301// CHECK: [0x01,0x7f,0x05,0x98]
6302
6303s_lshl3_add_u32 s5, s1, 0
6304// CHECK: [0x01,0x80,0x05,0x98]
6305
6306s_lshl3_add_u32 s5, s1, -1
6307// CHECK: [0x01,0xc1,0x05,0x98]
6308
6309s_lshl3_add_u32 s5, s1, 0.5
6310// CHECK: [0x01,0xf0,0x05,0x98]
6311
6312s_lshl3_add_u32 s5, s1, -4.0
6313// CHECK: [0x01,0xf7,0x05,0x98]
6314
6315s_lshl3_add_u32 s5, s1, src_vccz
6316// CHECK: [0x01,0xfb,0x05,0x98]
6317
6318s_lshl3_add_u32 s5, s1, src_execz
6319// CHECK: [0x01,0xfc,0x05,0x98]
6320
6321s_lshl3_add_u32 s5, s1, src_scc
6322// CHECK: [0x01,0xfd,0x05,0x98]
6323
6324s_lshl3_add_u32 s5, s1, 0xaf123456
6325// CHECK: [0x01,0xff,0x05,0x98,0x56,0x34,0x12,0xaf]
6326
6327s_lshl3_add_u32 s5, s1, 0x3f717273
6328// CHECK: [0x01,0xff,0x05,0x98,0x73,0x72,0x71,0x3f]
6329
6330s_lshl4_add_u32 s5, s1, s2
6331// CHECK: [0x01,0x02,0x85,0x98]
6332
6333s_lshl4_add_u32 s101, s1, s2
6334// CHECK: [0x01,0x02,0xe5,0x98]
6335
6336s_lshl4_add_u32 flat_scratch_lo, s1, s2
6337// CHECK: [0x01,0x02,0xe6,0x98]
6338
6339s_lshl4_add_u32 flat_scratch_hi, s1, s2
6340// CHECK: [0x01,0x02,0xe7,0x98]
6341
6342s_lshl4_add_u32 vcc_lo, s1, s2
6343// CHECK: [0x01,0x02,0xea,0x98]
6344
6345s_lshl4_add_u32 vcc_hi, s1, s2
6346// CHECK: [0x01,0x02,0xeb,0x98]
6347
6348s_lshl4_add_u32 ttmp15, s1, s2
6349// CHECK: [0x01,0x02,0xfb,0x98]
6350
6351s_lshl4_add_u32 m0, s1, s2
6352// CHECK: [0x01,0x02,0xfc,0x98]
6353
6354s_lshl4_add_u32 exec_lo, s1, s2
6355// CHECK: [0x01,0x02,0xfe,0x98]
6356
6357s_lshl4_add_u32 exec_hi, s1, s2
6358// CHECK: [0x01,0x02,0xff,0x98]
6359
6360s_lshl4_add_u32 s5, s101, s2
6361// CHECK: [0x65,0x02,0x85,0x98]
6362
6363s_lshl4_add_u32 s5, flat_scratch_lo, s2
6364// CHECK: [0x66,0x02,0x85,0x98]
6365
6366s_lshl4_add_u32 s5, flat_scratch_hi, s2
6367// CHECK: [0x67,0x02,0x85,0x98]
6368
6369s_lshl4_add_u32 s5, vcc_lo, s2
6370// CHECK: [0x6a,0x02,0x85,0x98]
6371
6372s_lshl4_add_u32 s5, vcc_hi, s2
6373// CHECK: [0x6b,0x02,0x85,0x98]
6374
6375s_lshl4_add_u32 s5, ttmp15, s2
6376// CHECK: [0x7b,0x02,0x85,0x98]
6377
6378s_lshl4_add_u32 s5, m0, s2
6379// CHECK: [0x7c,0x02,0x85,0x98]
6380
6381s_lshl4_add_u32 s5, exec_lo, s2
6382// CHECK: [0x7e,0x02,0x85,0x98]
6383
6384s_lshl4_add_u32 s5, exec_hi, s2
6385// CHECK: [0x7f,0x02,0x85,0x98]
6386
6387s_lshl4_add_u32 s5, 0, s2
6388// CHECK: [0x80,0x02,0x85,0x98]
6389
6390s_lshl4_add_u32 s5, -1, s2
6391// CHECK: [0xc1,0x02,0x85,0x98]
6392
6393s_lshl4_add_u32 s5, 0.5, s2
6394// CHECK: [0xf0,0x02,0x85,0x98]
6395
6396s_lshl4_add_u32 s5, -4.0, s2
6397// CHECK: [0xf7,0x02,0x85,0x98]
6398
6399s_lshl4_add_u32 s5, src_vccz, s2
6400// CHECK: [0xfb,0x02,0x85,0x98]
6401
6402s_lshl4_add_u32 s5, src_execz, s2
6403// CHECK: [0xfc,0x02,0x85,0x98]
6404
6405s_lshl4_add_u32 s5, src_scc, s2
6406// CHECK: [0xfd,0x02,0x85,0x98]
6407
6408s_lshl4_add_u32 s5, 0xaf123456, s2
6409// CHECK: [0xff,0x02,0x85,0x98,0x56,0x34,0x12,0xaf]
6410
6411s_lshl4_add_u32 s5, 0x3f717273, s2
6412// CHECK: [0xff,0x02,0x85,0x98,0x73,0x72,0x71,0x3f]
6413
6414s_lshl4_add_u32 s5, s1, s101
6415// CHECK: [0x01,0x65,0x85,0x98]
6416
6417s_lshl4_add_u32 s5, s1, flat_scratch_lo
6418// CHECK: [0x01,0x66,0x85,0x98]
6419
6420s_lshl4_add_u32 s5, s1, flat_scratch_hi
6421// CHECK: [0x01,0x67,0x85,0x98]
6422
6423s_lshl4_add_u32 s5, s1, vcc_lo
6424// CHECK: [0x01,0x6a,0x85,0x98]
6425
6426s_lshl4_add_u32 s5, s1, vcc_hi
6427// CHECK: [0x01,0x6b,0x85,0x98]
6428
6429s_lshl4_add_u32 s5, s1, ttmp15
6430// CHECK: [0x01,0x7b,0x85,0x98]
6431
6432s_lshl4_add_u32 s5, s1, m0
6433// CHECK: [0x01,0x7c,0x85,0x98]
6434
6435s_lshl4_add_u32 s5, s1, exec_lo
6436// CHECK: [0x01,0x7e,0x85,0x98]
6437
6438s_lshl4_add_u32 s5, s1, exec_hi
6439// CHECK: [0x01,0x7f,0x85,0x98]
6440
6441s_lshl4_add_u32 s5, s1, 0
6442// CHECK: [0x01,0x80,0x85,0x98]
6443
6444s_lshl4_add_u32 s5, s1, -1
6445// CHECK: [0x01,0xc1,0x85,0x98]
6446
6447s_lshl4_add_u32 s5, s1, 0.5
6448// CHECK: [0x01,0xf0,0x85,0x98]
6449
6450s_lshl4_add_u32 s5, s1, -4.0
6451// CHECK: [0x01,0xf7,0x85,0x98]
6452
6453s_lshl4_add_u32 s5, s1, src_vccz
6454// CHECK: [0x01,0xfb,0x85,0x98]
6455
6456s_lshl4_add_u32 s5, s1, src_execz
6457// CHECK: [0x01,0xfc,0x85,0x98]
6458
6459s_lshl4_add_u32 s5, s1, src_scc
6460// CHECK: [0x01,0xfd,0x85,0x98]
6461
6462s_lshl4_add_u32 s5, s1, 0xaf123456
6463// CHECK: [0x01,0xff,0x85,0x98,0x56,0x34,0x12,0xaf]
6464
6465s_lshl4_add_u32 s5, s1, 0x3f717273
6466// CHECK: [0x01,0xff,0x85,0x98,0x73,0x72,0x71,0x3f]
6467
6468s_pack_ll_b32_b16 s5, s1, s2
6469// CHECK: [0x01,0x02,0x05,0x99]
6470
6471s_pack_ll_b32_b16 s101, s1, s2
6472// CHECK: [0x01,0x02,0x65,0x99]
6473
6474s_pack_ll_b32_b16 flat_scratch_lo, s1, s2
6475// CHECK: [0x01,0x02,0x66,0x99]
6476
6477s_pack_ll_b32_b16 flat_scratch_hi, s1, s2
6478// CHECK: [0x01,0x02,0x67,0x99]
6479
6480s_pack_ll_b32_b16 vcc_lo, s1, s2
6481// CHECK: [0x01,0x02,0x6a,0x99]
6482
6483s_pack_ll_b32_b16 vcc_hi, s1, s2
6484// CHECK: [0x01,0x02,0x6b,0x99]
6485
6486s_pack_ll_b32_b16 ttmp15, s1, s2
6487// CHECK: [0x01,0x02,0x7b,0x99]
6488
6489s_pack_ll_b32_b16 m0, s1, s2
6490// CHECK: [0x01,0x02,0x7c,0x99]
6491
6492s_pack_ll_b32_b16 exec_lo, s1, s2
6493// CHECK: [0x01,0x02,0x7e,0x99]
6494
6495s_pack_ll_b32_b16 exec_hi, s1, s2
6496// CHECK: [0x01,0x02,0x7f,0x99]
6497
6498s_pack_ll_b32_b16 s5, s101, s2
6499// CHECK: [0x65,0x02,0x05,0x99]
6500
6501s_pack_ll_b32_b16 s5, flat_scratch_lo, s2
6502// CHECK: [0x66,0x02,0x05,0x99]
6503
6504s_pack_ll_b32_b16 s5, flat_scratch_hi, s2
6505// CHECK: [0x67,0x02,0x05,0x99]
6506
6507s_pack_ll_b32_b16 s5, vcc_lo, s2
6508// CHECK: [0x6a,0x02,0x05,0x99]
6509
6510s_pack_ll_b32_b16 s5, vcc_hi, s2
6511// CHECK: [0x6b,0x02,0x05,0x99]
6512
6513s_pack_ll_b32_b16 s5, ttmp15, s2
6514// CHECK: [0x7b,0x02,0x05,0x99]
6515
6516s_pack_ll_b32_b16 s5, m0, s2
6517// CHECK: [0x7c,0x02,0x05,0x99]
6518
6519s_pack_ll_b32_b16 s5, exec_lo, s2
6520// CHECK: [0x7e,0x02,0x05,0x99]
6521
6522s_pack_ll_b32_b16 s5, exec_hi, s2
6523// CHECK: [0x7f,0x02,0x05,0x99]
6524
6525s_pack_ll_b32_b16 s5, 0, s2
6526// CHECK: [0x80,0x02,0x05,0x99]
6527
6528s_pack_ll_b32_b16 s5, -1, s2
6529// CHECK: [0xc1,0x02,0x05,0x99]
6530
6531s_pack_ll_b32_b16 s5, 0.5, s2
6532// CHECK: [0xf0,0x02,0x05,0x99]
6533
6534s_pack_ll_b32_b16 s5, -4.0, s2
6535// CHECK: [0xf7,0x02,0x05,0x99]
6536
6537s_pack_ll_b32_b16 s5, src_vccz, s2
6538// CHECK: [0xfb,0x02,0x05,0x99]
6539
6540s_pack_ll_b32_b16 s5, src_execz, s2
6541// CHECK: [0xfc,0x02,0x05,0x99]
6542
6543s_pack_ll_b32_b16 s5, src_scc, s2
6544// CHECK: [0xfd,0x02,0x05,0x99]
6545
6546s_pack_ll_b32_b16 s5, 0xfe0b, s2
6547// CHECK: [0xff,0x02,0x05,0x99,0x0b,0xfe,0x00,0x00]
6548
6549s_pack_ll_b32_b16 s5, 0x3456, s2
6550// CHECK: [0xff,0x02,0x05,0x99,0x56,0x34,0x00,0x00]
6551
6552s_pack_ll_b32_b16 s5, s1, s101
6553// CHECK: [0x01,0x65,0x05,0x99]
6554
6555s_pack_ll_b32_b16 s5, s1, flat_scratch_lo
6556// CHECK: [0x01,0x66,0x05,0x99]
6557
6558s_pack_ll_b32_b16 s5, s1, flat_scratch_hi
6559// CHECK: [0x01,0x67,0x05,0x99]
6560
6561s_pack_ll_b32_b16 s5, s1, vcc_lo
6562// CHECK: [0x01,0x6a,0x05,0x99]
6563
6564s_pack_ll_b32_b16 s5, s1, vcc_hi
6565// CHECK: [0x01,0x6b,0x05,0x99]
6566
6567s_pack_ll_b32_b16 s5, s1, ttmp15
6568// CHECK: [0x01,0x7b,0x05,0x99]
6569
6570s_pack_ll_b32_b16 s5, s1, m0
6571// CHECK: [0x01,0x7c,0x05,0x99]
6572
6573s_pack_ll_b32_b16 s5, s1, exec_lo
6574// CHECK: [0x01,0x7e,0x05,0x99]
6575
6576s_pack_ll_b32_b16 s5, s1, exec_hi
6577// CHECK: [0x01,0x7f,0x05,0x99]
6578
6579s_pack_ll_b32_b16 s5, s1, 0
6580// CHECK: [0x01,0x80,0x05,0x99]
6581
6582s_pack_ll_b32_b16 s5, s1, -1
6583// CHECK: [0x01,0xc1,0x05,0x99]
6584
6585s_pack_ll_b32_b16 s5, s1, 0.5
6586// CHECK: [0x01,0xf0,0x05,0x99]
6587
6588s_pack_ll_b32_b16 s5, s1, -4.0
6589// CHECK: [0x01,0xf7,0x05,0x99]
6590
6591s_pack_ll_b32_b16 s5, s1, src_vccz
6592// CHECK: [0x01,0xfb,0x05,0x99]
6593
6594s_pack_ll_b32_b16 s5, s1, src_execz
6595// CHECK: [0x01,0xfc,0x05,0x99]
6596
6597s_pack_ll_b32_b16 s5, s1, src_scc
6598// CHECK: [0x01,0xfd,0x05,0x99]
6599
6600s_pack_ll_b32_b16 s5, s1, 0xfe0b
6601// CHECK: [0x01,0xff,0x05,0x99,0x0b,0xfe,0x00,0x00]
6602
6603s_pack_ll_b32_b16 s5, s1, 0x3456
6604// CHECK: [0x01,0xff,0x05,0x99,0x56,0x34,0x00,0x00]
6605
6606s_pack_lh_b32_b16 s5, s1, s2
6607// CHECK: [0x01,0x02,0x85,0x99]
6608
6609s_pack_lh_b32_b16 s101, s1, s2
6610// CHECK: [0x01,0x02,0xe5,0x99]
6611
6612s_pack_lh_b32_b16 flat_scratch_lo, s1, s2
6613// CHECK: [0x01,0x02,0xe6,0x99]
6614
6615s_pack_lh_b32_b16 flat_scratch_hi, s1, s2
6616// CHECK: [0x01,0x02,0xe7,0x99]
6617
6618s_pack_lh_b32_b16 vcc_lo, s1, s2
6619// CHECK: [0x01,0x02,0xea,0x99]
6620
6621s_pack_lh_b32_b16 vcc_hi, s1, s2
6622// CHECK: [0x01,0x02,0xeb,0x99]
6623
6624s_pack_lh_b32_b16 ttmp15, s1, s2
6625// CHECK: [0x01,0x02,0xfb,0x99]
6626
6627s_pack_lh_b32_b16 m0, s1, s2
6628// CHECK: [0x01,0x02,0xfc,0x99]
6629
6630s_pack_lh_b32_b16 exec_lo, s1, s2
6631// CHECK: [0x01,0x02,0xfe,0x99]
6632
6633s_pack_lh_b32_b16 exec_hi, s1, s2
6634// CHECK: [0x01,0x02,0xff,0x99]
6635
6636s_pack_lh_b32_b16 s5, s101, s2
6637// CHECK: [0x65,0x02,0x85,0x99]
6638
6639s_pack_lh_b32_b16 s5, flat_scratch_lo, s2
6640// CHECK: [0x66,0x02,0x85,0x99]
6641
6642s_pack_lh_b32_b16 s5, flat_scratch_hi, s2
6643// CHECK: [0x67,0x02,0x85,0x99]
6644
6645s_pack_lh_b32_b16 s5, vcc_lo, s2
6646// CHECK: [0x6a,0x02,0x85,0x99]
6647
6648s_pack_lh_b32_b16 s5, vcc_hi, s2
6649// CHECK: [0x6b,0x02,0x85,0x99]
6650
6651s_pack_lh_b32_b16 s5, ttmp15, s2
6652// CHECK: [0x7b,0x02,0x85,0x99]
6653
6654s_pack_lh_b32_b16 s5, m0, s2
6655// CHECK: [0x7c,0x02,0x85,0x99]
6656
6657s_pack_lh_b32_b16 s5, exec_lo, s2
6658// CHECK: [0x7e,0x02,0x85,0x99]
6659
6660s_pack_lh_b32_b16 s5, exec_hi, s2
6661// CHECK: [0x7f,0x02,0x85,0x99]
6662
6663s_pack_lh_b32_b16 s5, 0, s2
6664// CHECK: [0x80,0x02,0x85,0x99]
6665
6666s_pack_lh_b32_b16 s5, -1, s2
6667// CHECK: [0xc1,0x02,0x85,0x99]
6668
6669s_pack_lh_b32_b16 s5, 0.5, s2
6670// CHECK: [0xf0,0x02,0x85,0x99]
6671
6672s_pack_lh_b32_b16 s5, -4.0, s2
6673// CHECK: [0xf7,0x02,0x85,0x99]
6674
6675s_pack_lh_b32_b16 s5, src_vccz, s2
6676// CHECK: [0xfb,0x02,0x85,0x99]
6677
6678s_pack_lh_b32_b16 s5, src_execz, s2
6679// CHECK: [0xfc,0x02,0x85,0x99]
6680
6681s_pack_lh_b32_b16 s5, src_scc, s2
6682// CHECK: [0xfd,0x02,0x85,0x99]
6683
6684s_pack_lh_b32_b16 s5, 0xfe0b, s2
6685// CHECK: [0xff,0x02,0x85,0x99,0x0b,0xfe,0x00,0x00]
6686
6687s_pack_lh_b32_b16 s5, 0x3456, s2
6688// CHECK: [0xff,0x02,0x85,0x99,0x56,0x34,0x00,0x00]
6689
6690s_pack_lh_b32_b16 s5, s1, s101
6691// CHECK: [0x01,0x65,0x85,0x99]
6692
6693s_pack_lh_b32_b16 s5, s1, flat_scratch_lo
6694// CHECK: [0x01,0x66,0x85,0x99]
6695
6696s_pack_lh_b32_b16 s5, s1, flat_scratch_hi
6697// CHECK: [0x01,0x67,0x85,0x99]
6698
6699s_pack_lh_b32_b16 s5, s1, vcc_lo
6700// CHECK: [0x01,0x6a,0x85,0x99]
6701
6702s_pack_lh_b32_b16 s5, s1, vcc_hi
6703// CHECK: [0x01,0x6b,0x85,0x99]
6704
6705s_pack_lh_b32_b16 s5, s1, ttmp15
6706// CHECK: [0x01,0x7b,0x85,0x99]
6707
6708s_pack_lh_b32_b16 s5, s1, m0
6709// CHECK: [0x01,0x7c,0x85,0x99]
6710
6711s_pack_lh_b32_b16 s5, s1, exec_lo
6712// CHECK: [0x01,0x7e,0x85,0x99]
6713
6714s_pack_lh_b32_b16 s5, s1, exec_hi
6715// CHECK: [0x01,0x7f,0x85,0x99]
6716
6717s_pack_lh_b32_b16 s5, s1, 0
6718// CHECK: [0x01,0x80,0x85,0x99]
6719
6720s_pack_lh_b32_b16 s5, s1, -1
6721// CHECK: [0x01,0xc1,0x85,0x99]
6722
6723s_pack_lh_b32_b16 s5, s1, 0.5
6724// CHECK: [0x01,0xf0,0x85,0x99]
6725
6726s_pack_lh_b32_b16 s5, s1, -4.0
6727// CHECK: [0x01,0xf7,0x85,0x99]
6728
6729s_pack_lh_b32_b16 s5, s1, src_vccz
6730// CHECK: [0x01,0xfb,0x85,0x99]
6731
6732s_pack_lh_b32_b16 s5, s1, src_execz
6733// CHECK: [0x01,0xfc,0x85,0x99]
6734
6735s_pack_lh_b32_b16 s5, s1, src_scc
6736// CHECK: [0x01,0xfd,0x85,0x99]
6737
6738s_pack_lh_b32_b16 s5, s1, 0xaf123456
6739// CHECK: [0x01,0xff,0x85,0x99,0x56,0x34,0x12,0xaf]
6740
6741s_pack_lh_b32_b16 s5, s1, 0x3f717273
6742// CHECK: [0x01,0xff,0x85,0x99,0x73,0x72,0x71,0x3f]
6743
6744s_pack_hh_b32_b16 s5, s1, s2
6745// CHECK: [0x01,0x02,0x05,0x9a]
6746
6747s_pack_hh_b32_b16 s101, s1, s2
6748// CHECK: [0x01,0x02,0x65,0x9a]
6749
6750s_pack_hh_b32_b16 flat_scratch_lo, s1, s2
6751// CHECK: [0x01,0x02,0x66,0x9a]
6752
6753s_pack_hh_b32_b16 flat_scratch_hi, s1, s2
6754// CHECK: [0x01,0x02,0x67,0x9a]
6755
6756s_pack_hh_b32_b16 vcc_lo, s1, s2
6757// CHECK: [0x01,0x02,0x6a,0x9a]
6758
6759s_pack_hh_b32_b16 vcc_hi, s1, s2
6760// CHECK: [0x01,0x02,0x6b,0x9a]
6761
6762s_pack_hh_b32_b16 ttmp15, s1, s2
6763// CHECK: [0x01,0x02,0x7b,0x9a]
6764
6765s_pack_hh_b32_b16 m0, s1, s2
6766// CHECK: [0x01,0x02,0x7c,0x9a]
6767
6768s_pack_hh_b32_b16 exec_lo, s1, s2
6769// CHECK: [0x01,0x02,0x7e,0x9a]
6770
6771s_pack_hh_b32_b16 exec_hi, s1, s2
6772// CHECK: [0x01,0x02,0x7f,0x9a]
6773
6774s_pack_hh_b32_b16 s5, s101, s2
6775// CHECK: [0x65,0x02,0x05,0x9a]
6776
6777s_pack_hh_b32_b16 s5, flat_scratch_lo, s2
6778// CHECK: [0x66,0x02,0x05,0x9a]
6779
6780s_pack_hh_b32_b16 s5, flat_scratch_hi, s2
6781// CHECK: [0x67,0x02,0x05,0x9a]
6782
6783s_pack_hh_b32_b16 s5, vcc_lo, s2
6784// CHECK: [0x6a,0x02,0x05,0x9a]
6785
6786s_pack_hh_b32_b16 s5, vcc_hi, s2
6787// CHECK: [0x6b,0x02,0x05,0x9a]
6788
6789s_pack_hh_b32_b16 s5, ttmp15, s2
6790// CHECK: [0x7b,0x02,0x05,0x9a]
6791
6792s_pack_hh_b32_b16 s5, m0, s2
6793// CHECK: [0x7c,0x02,0x05,0x9a]
6794
6795s_pack_hh_b32_b16 s5, exec_lo, s2
6796// CHECK: [0x7e,0x02,0x05,0x9a]
6797
6798s_pack_hh_b32_b16 s5, exec_hi, s2
6799// CHECK: [0x7f,0x02,0x05,0x9a]
6800
6801s_pack_hh_b32_b16 s5, 0, s2
6802// CHECK: [0x80,0x02,0x05,0x9a]
6803
6804s_pack_hh_b32_b16 s5, -1, s2
6805// CHECK: [0xc1,0x02,0x05,0x9a]
6806
6807s_pack_hh_b32_b16 s5, 0.5, s2
6808// CHECK: [0xf0,0x02,0x05,0x9a]
6809
6810s_pack_hh_b32_b16 s5, -4.0, s2
6811// CHECK: [0xf7,0x02,0x05,0x9a]
6812
6813s_pack_hh_b32_b16 s5, src_vccz, s2
6814// CHECK: [0xfb,0x02,0x05,0x9a]
6815
6816s_pack_hh_b32_b16 s5, src_execz, s2
6817// CHECK: [0xfc,0x02,0x05,0x9a]
6818
6819s_pack_hh_b32_b16 s5, src_scc, s2
6820// CHECK: [0xfd,0x02,0x05,0x9a]
6821
6822s_pack_hh_b32_b16 s5, 0xaf123456, s2
6823// CHECK: [0xff,0x02,0x05,0x9a,0x56,0x34,0x12,0xaf]
6824
6825s_pack_hh_b32_b16 s5, 0x3f717273, s2
6826// CHECK: [0xff,0x02,0x05,0x9a,0x73,0x72,0x71,0x3f]
6827
6828s_pack_hh_b32_b16 s5, s1, s101
6829// CHECK: [0x01,0x65,0x05,0x9a]
6830
6831s_pack_hh_b32_b16 s5, s1, flat_scratch_lo
6832// CHECK: [0x01,0x66,0x05,0x9a]
6833
6834s_pack_hh_b32_b16 s5, s1, flat_scratch_hi
6835// CHECK: [0x01,0x67,0x05,0x9a]
6836
6837s_pack_hh_b32_b16 s5, s1, vcc_lo
6838// CHECK: [0x01,0x6a,0x05,0x9a]
6839
6840s_pack_hh_b32_b16 s5, s1, vcc_hi
6841// CHECK: [0x01,0x6b,0x05,0x9a]
6842
6843s_pack_hh_b32_b16 s5, s1, ttmp15
6844// CHECK: [0x01,0x7b,0x05,0x9a]
6845
6846s_pack_hh_b32_b16 s5, s1, m0
6847// CHECK: [0x01,0x7c,0x05,0x9a]
6848
6849s_pack_hh_b32_b16 s5, s1, exec_lo
6850// CHECK: [0x01,0x7e,0x05,0x9a]
6851
6852s_pack_hh_b32_b16 s5, s1, exec_hi
6853// CHECK: [0x01,0x7f,0x05,0x9a]
6854
6855s_pack_hh_b32_b16 s5, s1, 0
6856// CHECK: [0x01,0x80,0x05,0x9a]
6857
6858s_pack_hh_b32_b16 s5, s1, -1
6859// CHECK: [0x01,0xc1,0x05,0x9a]
6860
6861s_pack_hh_b32_b16 s5, s1, 0.5
6862// CHECK: [0x01,0xf0,0x05,0x9a]
6863
6864s_pack_hh_b32_b16 s5, s1, -4.0
6865// CHECK: [0x01,0xf7,0x05,0x9a]
6866
6867s_pack_hh_b32_b16 s5, s1, src_vccz
6868// CHECK: [0x01,0xfb,0x05,0x9a]
6869
6870s_pack_hh_b32_b16 s5, s1, src_execz
6871// CHECK: [0x01,0xfc,0x05,0x9a]
6872
6873s_pack_hh_b32_b16 s5, s1, src_scc
6874// CHECK: [0x01,0xfd,0x05,0x9a]
6875
6876s_pack_hh_b32_b16 s5, s1, 0xaf123456
6877// CHECK: [0x01,0xff,0x05,0x9a,0x56,0x34,0x12,0xaf]
6878
6879s_pack_hh_b32_b16 s5, s1, 0x3f717273
6880// CHECK: [0x01,0xff,0x05,0x9a,0x73,0x72,0x71,0x3f]
6881