1DEFINE	input.v	/^`define DEFINE$/;"	c
2DEF_WITH_EQ	input.v	/^`define DEF_WITH_EQ = 1'd100$/;"	c
3DEF_VALUE	input.v	/^`define DEF_VALUE   1'd100$/;"	c
4mod	input.v	/^module mod#($/;"	m
5PARAM1	input.v	/^    parameter PARAM1 = 10,$/;"	c	module:mod
6mod.PARAM1	input.v	/^    parameter PARAM1 = 10,$/;"	c	module:mod
7PARAM2	input.v	/^    parameter PARAM2 = 2.0$/;"	c	module:mod
8mod.PARAM2	input.v	/^    parameter PARAM2 = 2.0$/;"	c	module:mod
9a	input.v	/^    input wire a,$/;"	p	module:mod
10mod.a	input.v	/^    input wire a,$/;"	p	module:mod
11b	input.v	/^    b,c,$/;"	p	module:mod
12mod.b	input.v	/^    b,c,$/;"	p	module:mod
13c	input.v	/^    b,c,$/;"	p	module:mod
14mod.c	input.v	/^    b,c,$/;"	p	module:mod
15d	input.v	/^    d ,$/;"	p	module:mod
16mod.d	input.v	/^    d ,$/;"	p	module:mod
17e	input.v	/^    output wire e ,$/;"	p	module:mod
18mod.e	input.v	/^    output wire e ,$/;"	p	module:mod
19f	input.v	/^    output reg f,$/;"	p	module:mod
20mod.f	input.v	/^    output reg f,$/;"	p	module:mod
21g	input.v	/^    inout wire g$/;"	p	module:mod
22mod.g	input.v	/^    inout wire g$/;"	p	module:mod
23LOCALPARAM	input.v	/^localparam LOCALPARAM = 2**2;$/;"	c	module:mod
24mod.LOCALPARAM	input.v	/^localparam LOCALPARAM = 2**2;$/;"	c	module:mod
25STATE1	input.v	/^localparam STATE1 = 4'h0,$/;"	c	module:mod
26mod.STATE1	input.v	/^localparam STATE1 = 4'h0,$/;"	c	module:mod
27STATE2	input.v	/^           STATE2 = 4'h1,$/;"	c	module:mod
28mod.STATE2	input.v	/^           STATE2 = 4'h1,$/;"	c	module:mod
29STATE3	input.v	/^           STATE3 = 4'h2,$/;"	c	module:mod
30mod.STATE3	input.v	/^           STATE3 = 4'h2,$/;"	c	module:mod
31STATE4	input.v	/^           STATE4 = 4'h5    ,$/;"	c	module:mod
32mod.STATE4	input.v	/^           STATE4 = 4'h5    ,$/;"	c	module:mod
33STATE5	input.v	/^           STATE5 = 4'h6    ,$/;"	c	module:mod
34mod.STATE5	input.v	/^           STATE5 = 4'h6    ,$/;"	c	module:mod
35STATE6	input.v	/^           STATE6 = 4'h7    ,$/;"	c	module:mod
36mod.STATE6	input.v	/^           STATE6 = 4'h7    ,$/;"	c	module:mod
37STATE7	input.v	/^           STATE7 = 4'h8;$/;"	c	module:mod
38mod.STATE7	input.v	/^           STATE7 = 4'h8;$/;"	c	module:mod
39k	input.v	/^real k;$/;"	r	module:mod
40mod.k	input.v	/^real k;$/;"	r	module:mod
41l	input.v	/^integer l;$/;"	r	module:mod
42mod.l	input.v	/^integer l;$/;"	r	module:mod
43scounter	input.v	/^reg signed [3:0] scounter;$/;"	r	module:mod
44mod.scounter	input.v	/^reg signed [3:0] scounter;$/;"	r	module:mod
45add	input.v	/^task add ($/;"	t	module:mod
46mod.add	input.v	/^task add ($/;"	t	module:mod
47x	input.v	/^    input x, y,$/;"	p	task:mod.add
48mod.add.x	input.v	/^    input x, y,$/;"	p	task:mod.add
49y	input.v	/^    input x, y,$/;"	p	task:mod.add
50mod.add.y	input.v	/^    input x, y,$/;"	p	task:mod.add
51z	input.v	/^    output z$/;"	p	task:mod.add
52mod.add.z	input.v	/^    output z$/;"	p	task:mod.add
53mult	input.v	/^function integer mult ($/;"	f	module:mod
54mod.mult	input.v	/^function integer mult ($/;"	f	module:mod
55x	input.v	/^    input x,$/;"	p	function:mod.mult
56mod.mult.x	input.v	/^    input x,$/;"	p	function:mod.mult
57y	input.v	/^    input y);$/;"	p	function:mod.mult
58mod.mult.y	input.v	/^    input y);$/;"	p	function:mod.mult
59func_with_range	input.v	/^function [1:0] func_with_range (k, l);$/;"	f	module:mod
60mod.func_with_range	input.v	/^function [1:0] func_with_range (k, l);$/;"	f	module:mod
61k	input.v	/^function [1:0] func_with_range (k, l);$/;"	p	function:mod.func_with_range
62mod.func_with_range.k	input.v	/^function [1:0] func_with_range (k, l);$/;"	p	function:mod.func_with_range
63l	input.v	/^function [1:0] func_with_range (k, l);$/;"	p	function:mod.func_with_range
64mod.func_with_range.l	input.v	/^function [1:0] func_with_range (k, l);$/;"	p	function:mod.func_with_range
65