1package Equal11_Interface is
2
3  type My_Interface_Type is interface;
4
5  procedure Put (R : in My_Interface_Type) is abstract;
6
7end Equal11_Interface;
8