1
2----------------------------------------------------------------
3--
4-- Created by the Synopsys Library Compiler 1999.10
5-- FILENAME     :    sxlib_components.vhd
6-- FILE CONTENTS:    Component Package
7-- DATE CREATED :    Mon May  7 10:19:50 2001
8--
9-- LIBRARY      :    sxlib
10-- DATE ENTERED :    Thu Dec 21 11:24:55 MET 2000
11-- REVISION     :    1.200000
12-- TECHNOLOGY   :    cmos
13-- TIME SCALE   :    1 ns
14-- LOGIC SYSTEM :    IEEE-1164
15-- NOTES        :
16-- HISTORY      :
17--
18----------------------------------------------------------------
19
20library IEEE;
21use IEEE.STD_LOGIC_1164.all;
22-- synopsys translate_off
23use IEEE.GS_TYPES.sdt_values_t;
24-- synopsys translate_on
25
26package COMPONENTS is
27
28constant Default_Timing_mesg : Boolean := True;
29constant Default_Timing_xgen : Boolean := False;
30
31----- Component a2_x2 -----
32component a2_x2
33-- synopsys translate_off
34   generic(
35      Timing_mesg: Boolean := Default_Timing_mesg;
36      Timing_xgen: Boolean := Default_Timing_xgen;
37      tpdi0_q_R                      :	Time := 0.261 ns;
38      tpdi0_q_F                      :	Time := 0.388 ns;
39      tpdi1_q_R                      :	Time := 0.203 ns;
40      tpdi1_q_F                      :	Time := 0.434 ns;
41      twdi0_R                        :	Time := 0.000 ns;
42      twdi0_F                        :	Time := 0.000 ns;
43      twdi1_R                        :	Time := 0.000 ns;
44      twdi1_F                        :	Time := 0.000 ns);
45
46-- synopsys translate_on
47   port(
48      i0                             :	in    STD_LOGIC;
49      i1                             :	in    STD_LOGIC;
50      q                              :	out   STD_LOGIC);
51end component;
52
53
54----- Component a2_x4 -----
55component a2_x4
56-- synopsys translate_off
57   generic(
58      Timing_mesg: Boolean := Default_Timing_mesg;
59      Timing_xgen: Boolean := Default_Timing_xgen;
60      tpdi0_q_R                      :	Time := 0.338 ns;
61      tpdi0_q_F                      :	Time := 0.476 ns;
62      tpdi1_q_R                      :	Time := 0.269 ns;
63      tpdi1_q_F                      :	Time := 0.518 ns;
64      twdi0_R                        :	Time := 0.000 ns;
65      twdi0_F                        :	Time := 0.000 ns;
66      twdi1_R                        :	Time := 0.000 ns;
67      twdi1_F                        :	Time := 0.000 ns);
68
69-- synopsys translate_on
70   port(
71      i0                             :	in    STD_LOGIC;
72      i1                             :	in    STD_LOGIC;
73      q                              :	out   STD_LOGIC);
74end component;
75
76
77----- Component a3_x2 -----
78component a3_x2
79-- synopsys translate_off
80   generic(
81      Timing_mesg: Boolean := Default_Timing_mesg;
82      Timing_xgen: Boolean := Default_Timing_xgen;
83      tpdi0_q_R                      :	Time := 0.395 ns;
84      tpdi0_q_F                      :	Time := 0.435 ns;
85      tpdi1_q_R                      :	Time := 0.353 ns;
86      tpdi1_q_F                      :	Time := 0.479 ns;
87      tpdi2_q_R                      :	Time := 0.290 ns;
88      tpdi2_q_F                      :	Time := 0.521 ns;
89      twdi0_R                        :	Time := 0.000 ns;
90      twdi0_F                        :	Time := 0.000 ns;
91      twdi1_R                        :	Time := 0.000 ns;
92      twdi1_F                        :	Time := 0.000 ns;
93      twdi2_R                        :	Time := 0.000 ns;
94      twdi2_F                        :	Time := 0.000 ns);
95
96-- synopsys translate_on
97   port(
98      i0                             :	in    STD_LOGIC;
99      i1                             :	in    STD_LOGIC;
100      i2                             :	in    STD_LOGIC;
101      q                              :	out   STD_LOGIC);
102end component;
103
104
105----- Component a3_x4 -----
106component a3_x4
107-- synopsys translate_off
108   generic(
109      Timing_mesg: Boolean := Default_Timing_mesg;
110      Timing_xgen: Boolean := Default_Timing_xgen;
111      tpdi0_q_R                      :	Time := 0.478 ns;
112      tpdi0_q_F                      :	Time := 0.514 ns;
113      tpdi1_q_R                      :	Time := 0.428 ns;
114      tpdi1_q_F                      :	Time := 0.554 ns;
115      tpdi2_q_R                      :	Time := 0.356 ns;
116      tpdi2_q_F                      :	Time := 0.592 ns;
117      twdi0_R                        :	Time := 0.000 ns;
118      twdi0_F                        :	Time := 0.000 ns;
119      twdi1_R                        :	Time := 0.000 ns;
120      twdi1_F                        :	Time := 0.000 ns;
121      twdi2_R                        :	Time := 0.000 ns;
122      twdi2_F                        :	Time := 0.000 ns);
123
124-- synopsys translate_on
125   port(
126      i0                             :	in    STD_LOGIC;
127      i1                             :	in    STD_LOGIC;
128      i2                             :	in    STD_LOGIC;
129      q                              :	out   STD_LOGIC);
130end component;
131
132
133----- Component a4_x2 -----
134component a4_x2
135-- synopsys translate_off
136   generic(
137      Timing_mesg: Boolean := Default_Timing_mesg;
138      Timing_xgen: Boolean := Default_Timing_xgen;
139      tpdi0_q_R                      :	Time := 0.374 ns;
140      tpdi0_q_F                      :	Time := 0.578 ns;
141      tpdi1_q_R                      :	Time := 0.441 ns;
142      tpdi1_q_F                      :	Time := 0.539 ns;
143      tpdi2_q_R                      :	Time := 0.482 ns;
144      tpdi2_q_F                      :	Time := 0.498 ns;
145      tpdi3_q_R                      :	Time := 0.506 ns;
146      tpdi3_q_F                      :	Time := 0.455 ns;
147      twdi0_R                        :	Time := 0.000 ns;
148      twdi0_F                        :	Time := 0.000 ns;
149      twdi1_R                        :	Time := 0.000 ns;
150      twdi1_F                        :	Time := 0.000 ns;
151      twdi2_R                        :	Time := 0.000 ns;
152      twdi2_F                        :	Time := 0.000 ns;
153      twdi3_R                        :	Time := 0.000 ns;
154      twdi3_F                        :	Time := 0.000 ns);
155
156-- synopsys translate_on
157   port(
158      i0                             :	in    STD_LOGIC;
159      i1                             :	in    STD_LOGIC;
160      i2                             :	in    STD_LOGIC;
161      i3                             :	in    STD_LOGIC;
162      q                              :	out   STD_LOGIC);
163end component;
164
165
166----- Component a4_x4 -----
167component a4_x4
168-- synopsys translate_off
169   generic(
170      Timing_mesg: Boolean := Default_Timing_mesg;
171      Timing_xgen: Boolean := Default_Timing_xgen;
172      tpdi0_q_R                      :	Time := 0.505 ns;
173      tpdi0_q_F                      :	Time := 0.650 ns;
174      tpdi1_q_R                      :	Time := 0.578 ns;
175      tpdi1_q_F                      :	Time := 0.614 ns;
176      tpdi2_q_R                      :	Time := 0.627 ns;
177      tpdi2_q_F                      :	Time := 0.576 ns;
178      tpdi3_q_R                      :	Time := 0.661 ns;
179      tpdi3_q_F                      :	Time := 0.538 ns;
180      twdi0_R                        :	Time := 0.000 ns;
181      twdi0_F                        :	Time := 0.000 ns;
182      twdi1_R                        :	Time := 0.000 ns;
183      twdi1_F                        :	Time := 0.000 ns;
184      twdi2_R                        :	Time := 0.000 ns;
185      twdi2_F                        :	Time := 0.000 ns;
186      twdi3_R                        :	Time := 0.000 ns;
187      twdi3_F                        :	Time := 0.000 ns);
188
189-- synopsys translate_on
190   port(
191      i0                             :	in    STD_LOGIC;
192      i1                             :	in    STD_LOGIC;
193      i2                             :	in    STD_LOGIC;
194      i3                             :	in    STD_LOGIC;
195      q                              :	out   STD_LOGIC);
196end component;
197
198
199----- Component an12_x1 -----
200component an12_x1
201-- synopsys translate_off
202   generic(
203      Timing_mesg: Boolean := Default_Timing_mesg;
204      Timing_xgen: Boolean := Default_Timing_xgen;
205      tpdi0_q_R                      :	Time := 0.200 ns;
206      tpdi0_q_F                      :	Time := 0.168 ns;
207      tpdi1_q_R                      :	Time := 0.285 ns;
208      tpdi1_q_F                      :	Time := 0.405 ns;
209      twdi0_R                        :	Time := 0.000 ns;
210      twdi0_F                        :	Time := 0.000 ns;
211      twdi1_R                        :	Time := 0.000 ns;
212      twdi1_F                        :	Time := 0.000 ns);
213
214-- synopsys translate_on
215   port(
216      i0                             :	in    STD_LOGIC;
217      i1                             :	in    STD_LOGIC;
218      q                              :	out   STD_LOGIC);
219end component;
220
221
222----- Component an12_x4 -----
223component an12_x4
224-- synopsys translate_off
225   generic(
226      Timing_mesg: Boolean := Default_Timing_mesg;
227      Timing_xgen: Boolean := Default_Timing_xgen;
228      tpdi0_q_R                      :	Time := 0.461 ns;
229      tpdi0_q_F                      :	Time := 0.471 ns;
230      tpdi1_q_R                      :	Time := 0.269 ns;
231      tpdi1_q_F                      :	Time := 0.518 ns;
232      twdi0_R                        :	Time := 0.000 ns;
233      twdi0_F                        :	Time := 0.000 ns;
234      twdi1_R                        :	Time := 0.000 ns;
235      twdi1_F                        :	Time := 0.000 ns);
236
237-- synopsys translate_on
238   port(
239      i0                             :	in    STD_LOGIC;
240      i1                             :	in    STD_LOGIC;
241      q                              :	out   STD_LOGIC);
242end component;
243
244
245----- Component ao2o22_x2 -----
246component ao2o22_x2
247-- synopsys translate_off
248   generic(
249      Timing_mesg: Boolean := Default_Timing_mesg;
250      Timing_xgen: Boolean := Default_Timing_xgen;
251      tpdi0_q_R                      :	Time := 0.572 ns;
252      tpdi0_q_F                      :	Time := 0.451 ns;
253      tpdi1_q_R                      :	Time := 0.508 ns;
254      tpdi1_q_F                      :	Time := 0.542 ns;
255      tpdi2_q_R                      :	Time := 0.432 ns;
256      tpdi2_q_F                      :	Time := 0.627 ns;
257      tpdi3_q_R                      :	Time := 0.488 ns;
258      tpdi3_q_F                      :	Time := 0.526 ns;
259      twdi0_R                        :	Time := 0.000 ns;
260      twdi0_F                        :	Time := 0.000 ns;
261      twdi1_R                        :	Time := 0.000 ns;
262      twdi1_F                        :	Time := 0.000 ns;
263      twdi2_R                        :	Time := 0.000 ns;
264      twdi2_F                        :	Time := 0.000 ns;
265      twdi3_R                        :	Time := 0.000 ns;
266      twdi3_F                        :	Time := 0.000 ns);
267
268-- synopsys translate_on
269   port(
270      i0                             :	in    STD_LOGIC;
271      i1                             :	in    STD_LOGIC;
272      i2                             :	in    STD_LOGIC;
273      i3                             :	in    STD_LOGIC;
274      q                              :	out   STD_LOGIC);
275end component;
276
277
278----- Component ao2o22_x4 -----
279component ao2o22_x4
280-- synopsys translate_off
281   generic(
282      Timing_mesg: Boolean := Default_Timing_mesg;
283      Timing_xgen: Boolean := Default_Timing_xgen;
284      tpdi0_q_R                      :	Time := 0.696 ns;
285      tpdi0_q_F                      :	Time := 0.569 ns;
286      tpdi1_q_R                      :	Time := 0.637 ns;
287      tpdi1_q_F                      :	Time := 0.666 ns;
288      tpdi2_q_R                      :	Time := 0.554 ns;
289      tpdi2_q_F                      :	Time := 0.744 ns;
290      tpdi3_q_R                      :	Time := 0.606 ns;
291      tpdi3_q_F                      :	Time := 0.639 ns;
292      twdi0_R                        :	Time := 0.000 ns;
293      twdi0_F                        :	Time := 0.000 ns;
294      twdi1_R                        :	Time := 0.000 ns;
295      twdi1_F                        :	Time := 0.000 ns;
296      twdi2_R                        :	Time := 0.000 ns;
297      twdi2_F                        :	Time := 0.000 ns;
298      twdi3_R                        :	Time := 0.000 ns;
299      twdi3_F                        :	Time := 0.000 ns);
300
301-- synopsys translate_on
302   port(
303      i0                             :	in    STD_LOGIC;
304      i1                             :	in    STD_LOGIC;
305      i2                             :	in    STD_LOGIC;
306      i3                             :	in    STD_LOGIC;
307      q                              :	out   STD_LOGIC);
308end component;
309
310
311----- Component ao22_x2 -----
312component ao22_x2
313-- synopsys translate_off
314   generic(
315      Timing_mesg: Boolean := Default_Timing_mesg;
316      Timing_xgen: Boolean := Default_Timing_xgen;
317      tpdi0_q_R                      :	Time := 0.558 ns;
318      tpdi0_q_F                      :	Time := 0.447 ns;
319      tpdi1_q_R                      :	Time := 0.493 ns;
320      tpdi1_q_F                      :	Time := 0.526 ns;
321      tpdi2_q_R                      :	Time := 0.420 ns;
322      tpdi2_q_F                      :	Time := 0.425 ns;
323      twdi0_R                        :	Time := 0.000 ns;
324      twdi0_F                        :	Time := 0.000 ns;
325      twdi1_R                        :	Time := 0.000 ns;
326      twdi1_F                        :	Time := 0.000 ns;
327      twdi2_R                        :	Time := 0.000 ns;
328      twdi2_F                        :	Time := 0.000 ns);
329
330-- synopsys translate_on
331   port(
332      i0                             :	in    STD_LOGIC;
333      i1                             :	in    STD_LOGIC;
334      i2                             :	in    STD_LOGIC;
335      q                              :	out   STD_LOGIC);
336end component;
337
338
339----- Component ao22_x4 -----
340component ao22_x4
341-- synopsys translate_off
342   generic(
343      Timing_mesg: Boolean := Default_Timing_mesg;
344      Timing_xgen: Boolean := Default_Timing_xgen;
345      tpdi0_q_R                      :	Time := 0.674 ns;
346      tpdi0_q_F                      :	Time := 0.552 ns;
347      tpdi1_q_R                      :	Time := 0.615 ns;
348      tpdi1_q_F                      :	Time := 0.647 ns;
349      tpdi2_q_R                      :	Time := 0.526 ns;
350      tpdi2_q_F                      :	Time := 0.505 ns;
351      twdi0_R                        :	Time := 0.000 ns;
352      twdi0_F                        :	Time := 0.000 ns;
353      twdi1_R                        :	Time := 0.000 ns;
354      twdi1_F                        :	Time := 0.000 ns;
355      twdi2_R                        :	Time := 0.000 ns;
356      twdi2_F                        :	Time := 0.000 ns);
357
358-- synopsys translate_on
359   port(
360      i0                             :	in    STD_LOGIC;
361      i1                             :	in    STD_LOGIC;
362      i2                             :	in    STD_LOGIC;
363      q                              :	out   STD_LOGIC);
364end component;
365
366
367----- Component buf_x2 -----
368component buf_x2
369-- synopsys translate_off
370   generic(
371      Timing_mesg: Boolean := Default_Timing_mesg;
372      Timing_xgen: Boolean := Default_Timing_xgen;
373      tpdi_q_R                       :	Time := 0.409 ns;
374      tpdi_q_F                       :	Time := 0.391 ns;
375      twdi_R                         :	Time := 0.000 ns;
376      twdi_F                         :	Time := 0.000 ns);
377
378-- synopsys translate_on
379   port(
380      i                              :	in    STD_LOGIC;
381      q                              :	out   STD_LOGIC);
382end component;
383
384
385----- Component buf_x4 -----
386component buf_x4
387-- synopsys translate_off
388   generic(
389      Timing_mesg: Boolean := Default_Timing_mesg;
390      Timing_xgen: Boolean := Default_Timing_xgen;
391      tpdi_q_R                       :	Time := 0.379 ns;
392      tpdi_q_F                       :	Time := 0.409 ns;
393      twdi_R                         :	Time := 0.000 ns;
394      twdi_F                         :	Time := 0.000 ns);
395
396-- synopsys translate_on
397   port(
398      i                              :	in    STD_LOGIC;
399      q                              :	out   STD_LOGIC);
400end component;
401
402
403----- Component buf_x8 -----
404component buf_x8
405-- synopsys translate_off
406   generic(
407      Timing_mesg: Boolean := Default_Timing_mesg;
408      Timing_xgen: Boolean := Default_Timing_xgen;
409      tpdi_q_R                       :	Time := 0.343 ns;
410      tpdi_q_F                       :	Time := 0.396 ns;
411      twdi_R                         :	Time := 0.000 ns;
412      twdi_F                         :	Time := 0.000 ns);
413
414-- synopsys translate_on
415   port(
416      i                              :	in    STD_LOGIC;
417      q                              :	out   STD_LOGIC);
418end component;
419
420
421----- Component inv_x1 -----
422component inv_x1
423-- synopsys translate_off
424   generic(
425      Timing_mesg: Boolean := Default_Timing_mesg;
426      Timing_xgen: Boolean := Default_Timing_xgen;
427      tpdi_nq_R                      :	Time := 0.101 ns;
428      tpdi_nq_F                      :	Time := 0.139 ns;
429      twdi_R                         :	Time := 0.000 ns;
430      twdi_F                         :	Time := 0.000 ns);
431
432-- synopsys translate_on
433   port(
434      i                              :	in    STD_LOGIC;
435      nq                             :	out   STD_LOGIC);
436end component;
437
438
439----- Component inv_x2 -----
440component inv_x2
441-- synopsys translate_off
442   generic(
443      Timing_mesg: Boolean := Default_Timing_mesg;
444      Timing_xgen: Boolean := Default_Timing_xgen;
445      tpdi_nq_R                      :	Time := 0.069 ns;
446      tpdi_nq_F                      :	Time := 0.163 ns;
447      twdi_R                         :	Time := 0.000 ns;
448      twdi_F                         :	Time := 0.000 ns);
449
450-- synopsys translate_on
451   port(
452      i                              :	in    STD_LOGIC;
453      nq                             :	out   STD_LOGIC);
454end component;
455
456
457----- Component inv_x4 -----
458component inv_x4
459-- synopsys translate_off
460   generic(
461      Timing_mesg: Boolean := Default_Timing_mesg;
462      Timing_xgen: Boolean := Default_Timing_xgen;
463      tpdi_nq_R                      :	Time := 0.071 ns;
464      tpdi_nq_F                      :	Time := 0.143 ns;
465      twdi_R                         :	Time := 0.000 ns;
466      twdi_F                         :	Time := 0.000 ns);
467
468-- synopsys translate_on
469   port(
470      i                              :	in    STD_LOGIC;
471      nq                             :	out   STD_LOGIC);
472end component;
473
474
475----- Component inv_x8 -----
476component inv_x8
477-- synopsys translate_off
478   generic(
479      Timing_mesg: Boolean := Default_Timing_mesg;
480      Timing_xgen: Boolean := Default_Timing_xgen;
481      tpdi_nq_R                      :	Time := 0.086 ns;
482      tpdi_nq_F                      :	Time := 0.133 ns;
483      twdi_R                         :	Time := 0.000 ns;
484      twdi_F                         :	Time := 0.000 ns);
485
486-- synopsys translate_on
487   port(
488      i                              :	in    STD_LOGIC;
489      nq                             :	out   STD_LOGIC);
490end component;
491
492
493----- Component mx2_x2 -----
494component mx2_x2
495-- synopsys translate_off
496   generic(
497      Timing_mesg: Boolean := Default_Timing_mesg;
498      Timing_xgen: Boolean := Default_Timing_xgen;
499      tpdcmd_q_R                     :	Time := 0.484 ns;
500      tpdcmd_q_F                     :	Time := 0.522 ns;
501      tpdi0_q_R                      :	Time := 0.451 ns;
502      tpdi0_q_F                      :	Time := 0.469 ns;
503      tpdi1_q_R                      :	Time := 0.451 ns;
504      tpdi1_q_F                      :	Time := 0.469 ns;
505      twdcmd_R                       :	Time := 0.000 ns;
506      twdcmd_F                       :	Time := 0.000 ns;
507      twdi0_R                        :	Time := 0.000 ns;
508      twdi0_F                        :	Time := 0.000 ns;
509      twdi1_R                        :	Time := 0.000 ns;
510      twdi1_F                        :	Time := 0.000 ns);
511
512-- synopsys translate_on
513   port(
514      cmd                            :	in    STD_LOGIC;
515      i0                             :	in    STD_LOGIC;
516      i1                             :	in    STD_LOGIC;
517      q                              :	out   STD_LOGIC);
518end component;
519
520
521----- Component mx2_x4 -----
522component mx2_x4
523-- synopsys translate_off
524   generic(
525      Timing_mesg: Boolean := Default_Timing_mesg;
526      Timing_xgen: Boolean := Default_Timing_xgen;
527      tpdcmd_q_R                     :	Time := 0.615 ns;
528      tpdcmd_q_F                     :	Time := 0.647 ns;
529      tpdi0_q_R                      :	Time := 0.564 ns;
530      tpdi0_q_F                      :	Time := 0.576 ns;
531      tpdi1_q_R                      :	Time := 0.564 ns;
532      tpdi1_q_F                      :	Time := 0.576 ns;
533      twdcmd_R                       :	Time := 0.000 ns;
534      twdcmd_F                       :	Time := 0.000 ns;
535      twdi0_R                        :	Time := 0.000 ns;
536      twdi0_F                        :	Time := 0.000 ns;
537      twdi1_R                        :	Time := 0.000 ns;
538      twdi1_F                        :	Time := 0.000 ns);
539
540-- synopsys translate_on
541   port(
542      cmd                            :	in    STD_LOGIC;
543      i0                             :	in    STD_LOGIC;
544      i1                             :	in    STD_LOGIC;
545      q                              :	out   STD_LOGIC);
546end component;
547
548
549----- Component mx3_x2 -----
550component mx3_x2
551-- synopsys translate_off
552   generic(
553      Timing_mesg: Boolean := Default_Timing_mesg;
554      Timing_xgen: Boolean := Default_Timing_xgen;
555      tpdcmd0_q_R                    :	Time := 0.573 ns;
556      tpdcmd0_q_F                    :	Time := 0.680 ns;
557      tpdcmd1_q_R                    :	Time := 0.664 ns;
558      tpdcmd1_q_F                    :	Time := 0.817 ns;
559      tpdi0_q_R                      :	Time := 0.538 ns;
560      tpdi0_q_F                      :	Time := 0.658 ns;
561      tpdi1_q_R                      :	Time := 0.654 ns;
562      tpdi1_q_F                      :	Time := 0.808 ns;
563      tpdi2_q_R                      :	Time := 0.654 ns;
564      tpdi2_q_F                      :	Time := 0.808 ns;
565      twdcmd0_R                      :	Time := 0.000 ns;
566      twdcmd0_F                      :	Time := 0.000 ns;
567      twdcmd1_R                      :	Time := 0.000 ns;
568      twdcmd1_F                      :	Time := 0.000 ns;
569      twdi0_R                        :	Time := 0.000 ns;
570      twdi0_F                        :	Time := 0.000 ns;
571      twdi1_R                        :	Time := 0.000 ns;
572      twdi1_F                        :	Time := 0.000 ns;
573      twdi2_R                        :	Time := 0.000 ns;
574      twdi2_F                        :	Time := 0.000 ns);
575
576-- synopsys translate_on
577   port(
578      cmd0                           :	in    STD_LOGIC;
579      cmd1                           :	in    STD_LOGIC;
580      i0                             :	in    STD_LOGIC;
581      i1                             :	in    STD_LOGIC;
582      i2                             :	in    STD_LOGIC;
583      q                              :	out   STD_LOGIC);
584end component;
585
586
587----- Component mx3_x4 -----
588component mx3_x4
589-- synopsys translate_off
590   generic(
591      Timing_mesg: Boolean := Default_Timing_mesg;
592      Timing_xgen: Boolean := Default_Timing_xgen;
593      tpdcmd0_q_R                    :	Time := 0.683 ns;
594      tpdcmd0_q_F                    :	Time := 0.779 ns;
595      tpdcmd1_q_R                    :	Time := 0.792 ns;
596      tpdcmd1_q_F                    :	Time := 0.967 ns;
597      tpdi0_q_R                      :	Time := 0.640 ns;
598      tpdi0_q_F                      :	Time := 0.774 ns;
599      tpdi1_q_R                      :	Time := 0.770 ns;
600      tpdi1_q_F                      :	Time := 0.948 ns;
601      tpdi2_q_R                      :	Time := 0.770 ns;
602      tpdi2_q_F                      :	Time := 0.948 ns;
603      twdcmd0_R                      :	Time := 0.000 ns;
604      twdcmd0_F                      :	Time := 0.000 ns;
605      twdcmd1_R                      :	Time := 0.000 ns;
606      twdcmd1_F                      :	Time := 0.000 ns;
607      twdi0_R                        :	Time := 0.000 ns;
608      twdi0_F                        :	Time := 0.000 ns;
609      twdi1_R                        :	Time := 0.000 ns;
610      twdi1_F                        :	Time := 0.000 ns;
611      twdi2_R                        :	Time := 0.000 ns;
612      twdi2_F                        :	Time := 0.000 ns);
613
614-- synopsys translate_on
615   port(
616      cmd0                           :	in    STD_LOGIC;
617      cmd1                           :	in    STD_LOGIC;
618      i0                             :	in    STD_LOGIC;
619      i1                             :	in    STD_LOGIC;
620      i2                             :	in    STD_LOGIC;
621      q                              :	out   STD_LOGIC);
622end component;
623
624
625----- Component na2_x1 -----
626component na2_x1
627-- synopsys translate_off
628   generic(
629      Timing_mesg: Boolean := Default_Timing_mesg;
630      Timing_xgen: Boolean := Default_Timing_xgen;
631      tpdi0_nq_R                     :	Time := 0.059 ns;
632      tpdi0_nq_F                     :	Time := 0.288 ns;
633      tpdi1_nq_R                     :	Time := 0.111 ns;
634      tpdi1_nq_F                     :	Time := 0.234 ns;
635      twdi0_R                        :	Time := 0.000 ns;
636      twdi0_F                        :	Time := 0.000 ns;
637      twdi1_R                        :	Time := 0.000 ns;
638      twdi1_F                        :	Time := 0.000 ns);
639
640-- synopsys translate_on
641   port(
642      i0                             :	in    STD_LOGIC;
643      i1                             :	in    STD_LOGIC;
644      nq                             :	out   STD_LOGIC);
645end component;
646
647
648----- Component na2_x4 -----
649component na2_x4
650-- synopsys translate_off
651   generic(
652      Timing_mesg: Boolean := Default_Timing_mesg;
653      Timing_xgen: Boolean := Default_Timing_xgen;
654      tpdi0_nq_R                     :	Time := 0.412 ns;
655      tpdi0_nq_F                     :	Time := 0.552 ns;
656      tpdi1_nq_R                     :	Time := 0.353 ns;
657      tpdi1_nq_F                     :	Time := 0.601 ns;
658      twdi0_R                        :	Time := 0.000 ns;
659      twdi0_F                        :	Time := 0.000 ns;
660      twdi1_R                        :	Time := 0.000 ns;
661      twdi1_F                        :	Time := 0.000 ns);
662
663-- synopsys translate_on
664   port(
665      i0                             :	in    STD_LOGIC;
666      i1                             :	in    STD_LOGIC;
667      nq                             :	out   STD_LOGIC);
668end component;
669
670
671----- Component na3_x1 -----
672component na3_x1
673-- synopsys translate_off
674   generic(
675      Timing_mesg: Boolean := Default_Timing_mesg;
676      Timing_xgen: Boolean := Default_Timing_xgen;
677      tpdi0_nq_R                     :	Time := 0.119 ns;
678      tpdi0_nq_F                     :	Time := 0.363 ns;
679      tpdi1_nq_R                     :	Time := 0.171 ns;
680      tpdi1_nq_F                     :	Time := 0.316 ns;
681      tpdi2_nq_R                     :	Time := 0.193 ns;
682      tpdi2_nq_F                     :	Time := 0.265 ns;
683      twdi0_R                        :	Time := 0.000 ns;
684      twdi0_F                        :	Time := 0.000 ns;
685      twdi1_R                        :	Time := 0.000 ns;
686      twdi1_F                        :	Time := 0.000 ns;
687      twdi2_R                        :	Time := 0.000 ns;
688      twdi2_F                        :	Time := 0.000 ns);
689
690-- synopsys translate_on
691   port(
692      i0                             :	in    STD_LOGIC;
693      i1                             :	in    STD_LOGIC;
694      i2                             :	in    STD_LOGIC;
695      nq                             :	out   STD_LOGIC);
696end component;
697
698
699----- Component na3_x4 -----
700component na3_x4
701-- synopsys translate_off
702   generic(
703      Timing_mesg: Boolean := Default_Timing_mesg;
704      Timing_xgen: Boolean := Default_Timing_xgen;
705      tpdi0_nq_R                     :	Time := 0.556 ns;
706      tpdi0_nq_F                     :	Time := 0.601 ns;
707      tpdi1_nq_R                     :	Time := 0.460 ns;
708      tpdi1_nq_F                     :	Time := 0.691 ns;
709      tpdi2_nq_R                     :	Time := 0.519 ns;
710      tpdi2_nq_F                     :	Time := 0.647 ns;
711      twdi0_R                        :	Time := 0.000 ns;
712      twdi0_F                        :	Time := 0.000 ns;
713      twdi1_R                        :	Time := 0.000 ns;
714      twdi1_F                        :	Time := 0.000 ns;
715      twdi2_R                        :	Time := 0.000 ns;
716      twdi2_F                        :	Time := 0.000 ns);
717
718-- synopsys translate_on
719   port(
720      i0                             :	in    STD_LOGIC;
721      i1                             :	in    STD_LOGIC;
722      i2                             :	in    STD_LOGIC;
723      nq                             :	out   STD_LOGIC);
724end component;
725
726
727----- Component na4_x1 -----
728component na4_x1
729-- synopsys translate_off
730   generic(
731      Timing_mesg: Boolean := Default_Timing_mesg;
732      Timing_xgen: Boolean := Default_Timing_xgen;
733      tpdi0_nq_R                     :	Time := 0.179 ns;
734      tpdi0_nq_F                     :	Time := 0.438 ns;
735      tpdi1_nq_R                     :	Time := 0.237 ns;
736      tpdi1_nq_F                     :	Time := 0.395 ns;
737      tpdi2_nq_R                     :	Time := 0.269 ns;
738      tpdi2_nq_F                     :	Time := 0.350 ns;
739      tpdi3_nq_R                     :	Time := 0.282 ns;
740      tpdi3_nq_F                     :	Time := 0.302 ns;
741      twdi0_R                        :	Time := 0.000 ns;
742      twdi0_F                        :	Time := 0.000 ns;
743      twdi1_R                        :	Time := 0.000 ns;
744      twdi1_F                        :	Time := 0.000 ns;
745      twdi2_R                        :	Time := 0.000 ns;
746      twdi2_F                        :	Time := 0.000 ns;
747      twdi3_R                        :	Time := 0.000 ns;
748      twdi3_F                        :	Time := 0.000 ns);
749
750-- synopsys translate_on
751   port(
752      i0                             :	in    STD_LOGIC;
753      i1                             :	in    STD_LOGIC;
754      i2                             :	in    STD_LOGIC;
755      i3                             :	in    STD_LOGIC;
756      nq                             :	out   STD_LOGIC);
757end component;
758
759
760----- Component na4_x4 -----
761component na4_x4
762-- synopsys translate_off
763   generic(
764      Timing_mesg: Boolean := Default_Timing_mesg;
765      Timing_xgen: Boolean := Default_Timing_xgen;
766      tpdi0_nq_R                     :	Time := 0.578 ns;
767      tpdi0_nq_F                     :	Time := 0.771 ns;
768      tpdi1_nq_R                     :	Time := 0.643 ns;
769      tpdi1_nq_F                     :	Time := 0.731 ns;
770      tpdi2_nq_R                     :	Time := 0.681 ns;
771      tpdi2_nq_F                     :	Time := 0.689 ns;
772      tpdi3_nq_R                     :	Time := 0.703 ns;
773      tpdi3_nq_F                     :	Time := 0.644 ns;
774      twdi0_R                        :	Time := 0.000 ns;
775      twdi0_F                        :	Time := 0.000 ns;
776      twdi1_R                        :	Time := 0.000 ns;
777      twdi1_F                        :	Time := 0.000 ns;
778      twdi2_R                        :	Time := 0.000 ns;
779      twdi2_F                        :	Time := 0.000 ns;
780      twdi3_R                        :	Time := 0.000 ns;
781      twdi3_F                        :	Time := 0.000 ns);
782
783-- synopsys translate_on
784   port(
785      i0                             :	in    STD_LOGIC;
786      i1                             :	in    STD_LOGIC;
787      i2                             :	in    STD_LOGIC;
788      i3                             :	in    STD_LOGIC;
789      nq                             :	out   STD_LOGIC);
790end component;
791
792
793----- Component nao2o22_x1 -----
794component nao2o22_x1
795-- synopsys translate_off
796   generic(
797      Timing_mesg: Boolean := Default_Timing_mesg;
798      Timing_xgen: Boolean := Default_Timing_xgen;
799      tpdi0_nq_R                     :	Time := 0.294 ns;
800      tpdi0_nq_F                     :	Time := 0.226 ns;
801      tpdi1_nq_R                     :	Time := 0.218 ns;
802      tpdi1_nq_F                     :	Time := 0.287 ns;
803      tpdi2_nq_R                     :	Time := 0.237 ns;
804      tpdi2_nq_F                     :	Time := 0.307 ns;
805      tpdi3_nq_R                     :	Time := 0.174 ns;
806      tpdi3_nq_F                     :	Time := 0.382 ns;
807      twdi0_R                        :	Time := 0.000 ns;
808      twdi0_F                        :	Time := 0.000 ns;
809      twdi1_R                        :	Time := 0.000 ns;
810      twdi1_F                        :	Time := 0.000 ns;
811      twdi2_R                        :	Time := 0.000 ns;
812      twdi2_F                        :	Time := 0.000 ns;
813      twdi3_R                        :	Time := 0.000 ns;
814      twdi3_F                        :	Time := 0.000 ns);
815
816-- synopsys translate_on
817   port(
818      i0                             :	in    STD_LOGIC;
819      i1                             :	in    STD_LOGIC;
820      i2                             :	in    STD_LOGIC;
821      i3                             :	in    STD_LOGIC;
822      nq                             :	out   STD_LOGIC);
823end component;
824
825
826----- Component nao2o22_x4 -----
827component nao2o22_x4
828-- synopsys translate_off
829   generic(
830      Timing_mesg: Boolean := Default_Timing_mesg;
831      Timing_xgen: Boolean := Default_Timing_xgen;
832      tpdi0_nq_R                     :	Time := 0.734 ns;
833      tpdi0_nq_F                     :	Time := 0.644 ns;
834      tpdi1_nq_R                     :	Time := 0.666 ns;
835      tpdi1_nq_F                     :	Time := 0.717 ns;
836      tpdi2_nq_R                     :	Time := 0.664 ns;
837      tpdi2_nq_F                     :	Time := 0.721 ns;
838      tpdi3_nq_R                     :	Time := 0.607 ns;
839      tpdi3_nq_F                     :	Time := 0.807 ns;
840      twdi0_R                        :	Time := 0.000 ns;
841      twdi0_F                        :	Time := 0.000 ns;
842      twdi1_R                        :	Time := 0.000 ns;
843      twdi1_F                        :	Time := 0.000 ns;
844      twdi2_R                        :	Time := 0.000 ns;
845      twdi2_F                        :	Time := 0.000 ns;
846      twdi3_R                        :	Time := 0.000 ns;
847      twdi3_F                        :	Time := 0.000 ns);
848
849-- synopsys translate_on
850   port(
851      i0                             :	in    STD_LOGIC;
852      i1                             :	in    STD_LOGIC;
853      i2                             :	in    STD_LOGIC;
854      i3                             :	in    STD_LOGIC;
855      nq                             :	out   STD_LOGIC);
856end component;
857
858
859----- Component nao22_x1 -----
860component nao22_x1
861-- synopsys translate_off
862   generic(
863      Timing_mesg: Boolean := Default_Timing_mesg;
864      Timing_xgen: Boolean := Default_Timing_xgen;
865      tpdi0_nq_R                     :	Time := 0.294 ns;
866      tpdi0_nq_F                     :	Time := 0.226 ns;
867      tpdi1_nq_R                     :	Time := 0.218 ns;
868      tpdi1_nq_F                     :	Time := 0.287 ns;
869      tpdi2_nq_R                     :	Time := 0.165 ns;
870      tpdi2_nq_F                     :	Time := 0.238 ns;
871      twdi0_R                        :	Time := 0.000 ns;
872      twdi0_F                        :	Time := 0.000 ns;
873      twdi1_R                        :	Time := 0.000 ns;
874      twdi1_F                        :	Time := 0.000 ns;
875      twdi2_R                        :	Time := 0.000 ns;
876      twdi2_F                        :	Time := 0.000 ns);
877
878-- synopsys translate_on
879   port(
880      i0                             :	in    STD_LOGIC;
881      i1                             :	in    STD_LOGIC;
882      i2                             :	in    STD_LOGIC;
883      nq                             :	out   STD_LOGIC);
884end component;
885
886
887----- Component nao22_x4 -----
888component nao22_x4
889-- synopsys translate_off
890   generic(
891      Timing_mesg: Boolean := Default_Timing_mesg;
892      Timing_xgen: Boolean := Default_Timing_xgen;
893      tpdi0_nq_R                     :	Time := 0.732 ns;
894      tpdi0_nq_F                     :	Time := 0.650 ns;
895      tpdi1_nq_R                     :	Time := 0.664 ns;
896      tpdi1_nq_F                     :	Time := 0.723 ns;
897      tpdi2_nq_R                     :	Time := 0.596 ns;
898      tpdi2_nq_F                     :	Time := 0.636 ns;
899      twdi0_R                        :	Time := 0.000 ns;
900      twdi0_F                        :	Time := 0.000 ns;
901      twdi1_R                        :	Time := 0.000 ns;
902      twdi1_F                        :	Time := 0.000 ns;
903      twdi2_R                        :	Time := 0.000 ns;
904      twdi2_F                        :	Time := 0.000 ns);
905
906-- synopsys translate_on
907   port(
908      i0                             :	in    STD_LOGIC;
909      i1                             :	in    STD_LOGIC;
910      i2                             :	in    STD_LOGIC;
911      nq                             :	out   STD_LOGIC);
912end component;
913
914
915----- Component nmx2_x1 -----
916component nmx2_x1
917-- synopsys translate_off
918   generic(
919      Timing_mesg: Boolean := Default_Timing_mesg;
920      Timing_xgen: Boolean := Default_Timing_xgen;
921      tpdcmd_nq_R                    :	Time := 0.218 ns;
922      tpdcmd_nq_F                    :	Time := 0.287 ns;
923      tpdi0_nq_R                     :	Time := 0.217 ns;
924      tpdi0_nq_F                     :	Time := 0.256 ns;
925      tpdi1_nq_R                     :	Time := 0.217 ns;
926      tpdi1_nq_F                     :	Time := 0.256 ns;
927      twdcmd_R                       :	Time := 0.000 ns;
928      twdcmd_F                       :	Time := 0.000 ns;
929      twdi0_R                        :	Time := 0.000 ns;
930      twdi0_F                        :	Time := 0.000 ns;
931      twdi1_R                        :	Time := 0.000 ns;
932      twdi1_F                        :	Time := 0.000 ns);
933
934-- synopsys translate_on
935   port(
936      cmd                            :	in    STD_LOGIC;
937      i0                             :	in    STD_LOGIC;
938      i1                             :	in    STD_LOGIC;
939      nq                             :	out   STD_LOGIC);
940end component;
941
942
943----- Component nmx2_x4 -----
944component nmx2_x4
945-- synopsys translate_off
946   generic(
947      Timing_mesg: Boolean := Default_Timing_mesg;
948      Timing_xgen: Boolean := Default_Timing_xgen;
949      tpdcmd_nq_R                    :	Time := 0.632 ns;
950      tpdcmd_nq_F                    :	Time := 0.708 ns;
951      tpdi0_nq_R                     :	Time := 0.610 ns;
952      tpdi0_nq_F                     :	Time := 0.653 ns;
953      tpdi1_nq_R                     :	Time := 0.610 ns;
954      tpdi1_nq_F                     :	Time := 0.653 ns;
955      twdcmd_R                       :	Time := 0.000 ns;
956      twdcmd_F                       :	Time := 0.000 ns;
957      twdi0_R                        :	Time := 0.000 ns;
958      twdi0_F                        :	Time := 0.000 ns;
959      twdi1_R                        :	Time := 0.000 ns;
960      twdi1_F                        :	Time := 0.000 ns);
961
962-- synopsys translate_on
963   port(
964      cmd                            :	in    STD_LOGIC;
965      i0                             :	in    STD_LOGIC;
966      i1                             :	in    STD_LOGIC;
967      nq                             :	out   STD_LOGIC);
968end component;
969
970
971----- Component nmx3_x1 -----
972component nmx3_x1
973-- synopsys translate_off
974   generic(
975      Timing_mesg: Boolean := Default_Timing_mesg;
976      Timing_xgen: Boolean := Default_Timing_xgen;
977      tpdcmd0_nq_R                   :	Time := 0.356 ns;
978      tpdcmd0_nq_F                   :	Time := 0.495 ns;
979      tpdcmd1_nq_R                   :	Time := 0.414 ns;
980      tpdcmd1_nq_F                   :	Time := 0.566 ns;
981      tpdi0_nq_R                     :	Time := 0.315 ns;
982      tpdi0_nq_F                     :	Time := 0.441 ns;
983      tpdi1_nq_R                     :	Time := 0.429 ns;
984      tpdi1_nq_F                     :	Time := 0.582 ns;
985      tpdi2_nq_R                     :	Time := 0.429 ns;
986      tpdi2_nq_F                     :	Time := 0.582 ns;
987      twdcmd0_R                      :	Time := 0.000 ns;
988      twdcmd0_F                      :	Time := 0.000 ns;
989      twdcmd1_R                      :	Time := 0.000 ns;
990      twdcmd1_F                      :	Time := 0.000 ns;
991      twdi0_R                        :	Time := 0.000 ns;
992      twdi0_F                        :	Time := 0.000 ns;
993      twdi1_R                        :	Time := 0.000 ns;
994      twdi1_F                        :	Time := 0.000 ns;
995      twdi2_R                        :	Time := 0.000 ns;
996      twdi2_F                        :	Time := 0.000 ns);
997
998-- synopsys translate_on
999   port(
1000      cmd0                           :	in    STD_LOGIC;
1001      cmd1                           :	in    STD_LOGIC;
1002      i0                             :	in    STD_LOGIC;
1003      i1                             :	in    STD_LOGIC;
1004      i2                             :	in    STD_LOGIC;
1005      nq                             :	out   STD_LOGIC);
1006end component;
1007
1008
1009----- Component nmx3_x4 -----
1010component nmx3_x4
1011-- synopsys translate_off
1012   generic(
1013      Timing_mesg: Boolean := Default_Timing_mesg;
1014      Timing_xgen: Boolean := Default_Timing_xgen;
1015      tpdcmd0_nq_R                   :	Time := 0.790 ns;
1016      tpdcmd0_nq_F                   :	Time := 0.936 ns;
1017      tpdcmd1_nq_R                   :	Time := 0.866 ns;
1018      tpdcmd1_nq_F                   :	Time := 1.048 ns;
1019      tpdi0_nq_R                     :	Time := 0.748 ns;
1020      tpdi0_nq_F                     :	Time := 0.900 ns;
1021      tpdi1_nq_R                     :	Time := 0.869 ns;
1022      tpdi1_nq_F                     :	Time := 1.053 ns;
1023      tpdi2_nq_R                     :	Time := 0.869 ns;
1024      tpdi2_nq_F                     :	Time := 1.053 ns;
1025      twdcmd0_R                      :	Time := 0.000 ns;
1026      twdcmd0_F                      :	Time := 0.000 ns;
1027      twdcmd1_R                      :	Time := 0.000 ns;
1028      twdcmd1_F                      :	Time := 0.000 ns;
1029      twdi0_R                        :	Time := 0.000 ns;
1030      twdi0_F                        :	Time := 0.000 ns;
1031      twdi1_R                        :	Time := 0.000 ns;
1032      twdi1_F                        :	Time := 0.000 ns;
1033      twdi2_R                        :	Time := 0.000 ns;
1034      twdi2_F                        :	Time := 0.000 ns);
1035
1036-- synopsys translate_on
1037   port(
1038      cmd0                           :	in    STD_LOGIC;
1039      cmd1                           :	in    STD_LOGIC;
1040      i0                             :	in    STD_LOGIC;
1041      i1                             :	in    STD_LOGIC;
1042      i2                             :	in    STD_LOGIC;
1043      nq                             :	out   STD_LOGIC);
1044end component;
1045
1046
1047----- Component no2_x1 -----
1048component no2_x1
1049-- synopsys translate_off
1050   generic(
1051      Timing_mesg: Boolean := Default_Timing_mesg;
1052      Timing_xgen: Boolean := Default_Timing_xgen;
1053      tpdi0_nq_R                     :	Time := 0.298 ns;
1054      tpdi0_nq_F                     :	Time := 0.121 ns;
1055      tpdi1_nq_R                     :	Time := 0.193 ns;
1056      tpdi1_nq_F                     :	Time := 0.161 ns;
1057      twdi0_R                        :	Time := 0.000 ns;
1058      twdi0_F                        :	Time := 0.000 ns;
1059      twdi1_R                        :	Time := 0.000 ns;
1060      twdi1_F                        :	Time := 0.000 ns);
1061
1062-- synopsys translate_on
1063   port(
1064      i0                             :	in    STD_LOGIC;
1065      i1                             :	in    STD_LOGIC;
1066      nq                             :	out   STD_LOGIC);
1067end component;
1068
1069
1070----- Component no2_x4 -----
1071component no2_x4
1072-- synopsys translate_off
1073   generic(
1074      Timing_mesg: Boolean := Default_Timing_mesg;
1075      Timing_xgen: Boolean := Default_Timing_xgen;
1076      tpdi0_nq_R                     :	Time := 0.618 ns;
1077      tpdi0_nq_F                     :	Time := 0.447 ns;
1078      tpdi1_nq_R                     :	Time := 0.522 ns;
1079      tpdi1_nq_F                     :	Time := 0.504 ns;
1080      twdi0_R                        :	Time := 0.000 ns;
1081      twdi0_F                        :	Time := 0.000 ns;
1082      twdi1_R                        :	Time := 0.000 ns;
1083      twdi1_F                        :	Time := 0.000 ns);
1084
1085-- synopsys translate_on
1086   port(
1087      i0                             :	in    STD_LOGIC;
1088      i1                             :	in    STD_LOGIC;
1089      nq                             :	out   STD_LOGIC);
1090end component;
1091
1092
1093----- Component no3_x1 -----
1094component no3_x1
1095-- synopsys translate_off
1096   generic(
1097      Timing_mesg: Boolean := Default_Timing_mesg;
1098      Timing_xgen: Boolean := Default_Timing_xgen;
1099      tpdi0_nq_R                     :	Time := 0.318 ns;
1100      tpdi0_nq_F                     :	Time := 0.246 ns;
1101      tpdi1_nq_R                     :	Time := 0.215 ns;
1102      tpdi1_nq_F                     :	Time := 0.243 ns;
1103      tpdi2_nq_R                     :	Time := 0.407 ns;
1104      tpdi2_nq_F                     :	Time := 0.192 ns;
1105      twdi0_R                        :	Time := 0.000 ns;
1106      twdi0_F                        :	Time := 0.000 ns;
1107      twdi1_R                        :	Time := 0.000 ns;
1108      twdi1_F                        :	Time := 0.000 ns;
1109      twdi2_R                        :	Time := 0.000 ns;
1110      twdi2_F                        :	Time := 0.000 ns);
1111
1112-- synopsys translate_on
1113   port(
1114      i0                             :	in    STD_LOGIC;
1115      i1                             :	in    STD_LOGIC;
1116      i2                             :	in    STD_LOGIC;
1117      nq                             :	out   STD_LOGIC);
1118end component;
1119
1120
1121----- Component no3_x4 -----
1122component no3_x4
1123-- synopsys translate_off
1124   generic(
1125      Timing_mesg: Boolean := Default_Timing_mesg;
1126      Timing_xgen: Boolean := Default_Timing_xgen;
1127      tpdi0_nq_R                     :	Time := 0.722 ns;
1128      tpdi0_nq_F                     :	Time := 0.561 ns;
1129      tpdi1_nq_R                     :	Time := 0.638 ns;
1130      tpdi1_nq_F                     :	Time := 0.623 ns;
1131      tpdi2_nq_R                     :	Time := 0.545 ns;
1132      tpdi2_nq_F                     :	Time := 0.640 ns;
1133      twdi0_R                        :	Time := 0.000 ns;
1134      twdi0_F                        :	Time := 0.000 ns;
1135      twdi1_R                        :	Time := 0.000 ns;
1136      twdi1_F                        :	Time := 0.000 ns;
1137      twdi2_R                        :	Time := 0.000 ns;
1138      twdi2_F                        :	Time := 0.000 ns);
1139
1140-- synopsys translate_on
1141   port(
1142      i0                             :	in    STD_LOGIC;
1143      i1                             :	in    STD_LOGIC;
1144      i2                             :	in    STD_LOGIC;
1145      nq                             :	out   STD_LOGIC);
1146end component;
1147
1148
1149----- Component no4_x1 -----
1150component no4_x1
1151-- synopsys translate_off
1152   generic(
1153      Timing_mesg: Boolean := Default_Timing_mesg;
1154      Timing_xgen: Boolean := Default_Timing_xgen;
1155      tpdi0_nq_R                     :	Time := 0.330 ns;
1156      tpdi0_nq_F                     :	Time := 0.340 ns;
1157      tpdi1_nq_R                     :	Time := 0.230 ns;
1158      tpdi1_nq_F                     :	Time := 0.320 ns;
1159      tpdi2_nq_R                     :	Time := 0.419 ns;
1160      tpdi2_nq_F                     :	Time := 0.333 ns;
1161      tpdi3_nq_R                     :	Time := 0.499 ns;
1162      tpdi3_nq_F                     :	Time := 0.271 ns;
1163      twdi0_R                        :	Time := 0.000 ns;
1164      twdi0_F                        :	Time := 0.000 ns;
1165      twdi1_R                        :	Time := 0.000 ns;
1166      twdi1_F                        :	Time := 0.000 ns;
1167      twdi2_R                        :	Time := 0.000 ns;
1168      twdi2_F                        :	Time := 0.000 ns;
1169      twdi3_R                        :	Time := 0.000 ns;
1170      twdi3_F                        :	Time := 0.000 ns);
1171
1172-- synopsys translate_on
1173   port(
1174      i0                             :	in    STD_LOGIC;
1175      i1                             :	in    STD_LOGIC;
1176      i2                             :	in    STD_LOGIC;
1177      i3                             :	in    STD_LOGIC;
1178      nq                             :	out   STD_LOGIC);
1179end component;
1180
1181
1182----- Component no4_x4 -----
1183component no4_x4
1184-- synopsys translate_off
1185   generic(
1186      Timing_mesg: Boolean := Default_Timing_mesg;
1187      Timing_xgen: Boolean := Default_Timing_xgen;
1188      tpdi0_nq_R                     :	Time := 0.656 ns;
1189      tpdi0_nq_F                     :	Time := 0.777 ns;
1190      tpdi1_nq_R                     :	Time := 0.564 ns;
1191      tpdi1_nq_F                     :	Time := 0.768 ns;
1192      tpdi2_nq_R                     :	Time := 0.739 ns;
1193      tpdi2_nq_F                     :	Time := 0.761 ns;
1194      tpdi3_nq_R                     :	Time := 0.816 ns;
1195      tpdi3_nq_F                     :	Time := 0.693 ns;
1196      twdi0_R                        :	Time := 0.000 ns;
1197      twdi0_F                        :	Time := 0.000 ns;
1198      twdi1_R                        :	Time := 0.000 ns;
1199      twdi1_F                        :	Time := 0.000 ns;
1200      twdi2_R                        :	Time := 0.000 ns;
1201      twdi2_F                        :	Time := 0.000 ns;
1202      twdi3_R                        :	Time := 0.000 ns;
1203      twdi3_F                        :	Time := 0.000 ns);
1204
1205-- synopsys translate_on
1206   port(
1207      i0                             :	in    STD_LOGIC;
1208      i1                             :	in    STD_LOGIC;
1209      i2                             :	in    STD_LOGIC;
1210      i3                             :	in    STD_LOGIC;
1211      nq                             :	out   STD_LOGIC);
1212end component;
1213
1214
1215----- Component noa2a2a2a24_x1 -----
1216component noa2a2a2a24_x1
1217-- synopsys translate_off
1218   generic(
1219      Timing_mesg: Boolean := Default_Timing_mesg;
1220      Timing_xgen: Boolean := Default_Timing_xgen;
1221      tpdi0_nq_R                     :	Time := 0.649 ns;
1222      tpdi0_nq_F                     :	Time := 0.606 ns;
1223      tpdi1_nq_R                     :	Time := 0.775 ns;
1224      tpdi1_nq_F                     :	Time := 0.562 ns;
1225      tpdi2_nq_R                     :	Time := 0.550 ns;
1226      tpdi2_nq_F                     :	Time := 0.662 ns;
1227      tpdi3_nq_R                     :	Time := 0.667 ns;
1228      tpdi3_nq_F                     :	Time := 0.616 ns;
1229      tpdi4_nq_R                     :	Time := 0.419 ns;
1230      tpdi4_nq_F                     :	Time := 0.613 ns;
1231      tpdi5_nq_R                     :	Time := 0.329 ns;
1232      tpdi5_nq_F                     :	Time := 0.662 ns;
1233      tpdi6_nq_R                     :	Time := 0.270 ns;
1234      tpdi6_nq_F                     :	Time := 0.535 ns;
1235      tpdi7_nq_R                     :	Time := 0.200 ns;
1236      tpdi7_nq_F                     :	Time := 0.591 ns;
1237      twdi0_R                        :	Time := 0.000 ns;
1238      twdi0_F                        :	Time := 0.000 ns;
1239      twdi1_R                        :	Time := 0.000 ns;
1240      twdi1_F                        :	Time := 0.000 ns;
1241      twdi2_R                        :	Time := 0.000 ns;
1242      twdi2_F                        :	Time := 0.000 ns;
1243      twdi3_R                        :	Time := 0.000 ns;
1244      twdi3_F                        :	Time := 0.000 ns;
1245      twdi4_R                        :	Time := 0.000 ns;
1246      twdi4_F                        :	Time := 0.000 ns;
1247      twdi5_R                        :	Time := 0.000 ns;
1248      twdi5_F                        :	Time := 0.000 ns;
1249      twdi6_R                        :	Time := 0.000 ns;
1250      twdi6_F                        :	Time := 0.000 ns;
1251      twdi7_R                        :	Time := 0.000 ns;
1252      twdi7_F                        :	Time := 0.000 ns);
1253
1254-- synopsys translate_on
1255   port(
1256      i0                             :	in    STD_LOGIC;
1257      i1                             :	in    STD_LOGIC;
1258      i2                             :	in    STD_LOGIC;
1259      i3                             :	in    STD_LOGIC;
1260      i4                             :	in    STD_LOGIC;
1261      i5                             :	in    STD_LOGIC;
1262      i6                             :	in    STD_LOGIC;
1263      i7                             :	in    STD_LOGIC;
1264      nq                             :	out   STD_LOGIC);
1265end component;
1266
1267
1268----- Component noa2a2a2a24_x4 -----
1269component noa2a2a2a24_x4
1270-- synopsys translate_off
1271   generic(
1272      Timing_mesg: Boolean := Default_Timing_mesg;
1273      Timing_xgen: Boolean := Default_Timing_xgen;
1274      tpdi0_nq_R                     :	Time := 0.966 ns;
1275      tpdi0_nq_F                     :	Time := 1.049 ns;
1276      tpdi1_nq_R                     :	Time := 1.097 ns;
1277      tpdi1_nq_F                     :	Time := 1.005 ns;
1278      tpdi2_nq_R                     :	Time := 0.867 ns;
1279      tpdi2_nq_F                     :	Time := 1.106 ns;
1280      tpdi3_nq_R                     :	Time := 0.990 ns;
1281      tpdi3_nq_F                     :	Time := 1.061 ns;
1282      tpdi4_nq_R                     :	Time := 0.748 ns;
1283      tpdi4_nq_F                     :	Time := 1.061 ns;
1284      tpdi5_nq_R                     :	Time := 0.649 ns;
1285      tpdi5_nq_F                     :	Time := 1.109 ns;
1286      tpdi6_nq_R                     :	Time := 0.606 ns;
1287      tpdi6_nq_F                     :	Time := 0.999 ns;
1288      tpdi7_nq_R                     :	Time := 0.525 ns;
1289      tpdi7_nq_F                     :	Time := 1.052 ns;
1290      twdi0_R                        :	Time := 0.000 ns;
1291      twdi0_F                        :	Time := 0.000 ns;
1292      twdi1_R                        :	Time := 0.000 ns;
1293      twdi1_F                        :	Time := 0.000 ns;
1294      twdi2_R                        :	Time := 0.000 ns;
1295      twdi2_F                        :	Time := 0.000 ns;
1296      twdi3_R                        :	Time := 0.000 ns;
1297      twdi3_F                        :	Time := 0.000 ns;
1298      twdi4_R                        :	Time := 0.000 ns;
1299      twdi4_F                        :	Time := 0.000 ns;
1300      twdi5_R                        :	Time := 0.000 ns;
1301      twdi5_F                        :	Time := 0.000 ns;
1302      twdi6_R                        :	Time := 0.000 ns;
1303      twdi6_F                        :	Time := 0.000 ns;
1304      twdi7_R                        :	Time := 0.000 ns;
1305      twdi7_F                        :	Time := 0.000 ns);
1306
1307-- synopsys translate_on
1308   port(
1309      i0                             :	in    STD_LOGIC;
1310      i1                             :	in    STD_LOGIC;
1311      i2                             :	in    STD_LOGIC;
1312      i3                             :	in    STD_LOGIC;
1313      i4                             :	in    STD_LOGIC;
1314      i5                             :	in    STD_LOGIC;
1315      i6                             :	in    STD_LOGIC;
1316      i7                             :	in    STD_LOGIC;
1317      nq                             :	out   STD_LOGIC);
1318end component;
1319
1320
1321----- Component noa2a2a23_x1 -----
1322component noa2a2a23_x1
1323-- synopsys translate_off
1324   generic(
1325      Timing_mesg: Boolean := Default_Timing_mesg;
1326      Timing_xgen: Boolean := Default_Timing_xgen;
1327      tpdi0_nq_R                     :	Time := 0.525 ns;
1328      tpdi0_nq_F                     :	Time := 0.425 ns;
1329      tpdi1_nq_R                     :	Time := 0.643 ns;
1330      tpdi1_nq_F                     :	Time := 0.388 ns;
1331      tpdi2_nq_R                     :	Time := 0.307 ns;
1332      tpdi2_nq_F                     :	Time := 0.479 ns;
1333      tpdi3_nq_R                     :	Time := 0.398 ns;
1334      tpdi3_nq_F                     :	Time := 0.438 ns;
1335      tpdi4_nq_R                     :	Time := 0.250 ns;
1336      tpdi4_nq_F                     :	Time := 0.416 ns;
1337      tpdi5_nq_R                     :	Time := 0.178 ns;
1338      tpdi5_nq_F                     :	Time := 0.464 ns;
1339      twdi0_R                        :	Time := 0.000 ns;
1340      twdi0_F                        :	Time := 0.000 ns;
1341      twdi1_R                        :	Time := 0.000 ns;
1342      twdi1_F                        :	Time := 0.000 ns;
1343      twdi2_R                        :	Time := 0.000 ns;
1344      twdi2_F                        :	Time := 0.000 ns;
1345      twdi3_R                        :	Time := 0.000 ns;
1346      twdi3_F                        :	Time := 0.000 ns;
1347      twdi4_R                        :	Time := 0.000 ns;
1348      twdi4_F                        :	Time := 0.000 ns;
1349      twdi5_R                        :	Time := 0.000 ns;
1350      twdi5_F                        :	Time := 0.000 ns);
1351
1352-- synopsys translate_on
1353   port(
1354      i0                             :	in    STD_LOGIC;
1355      i1                             :	in    STD_LOGIC;
1356      i2                             :	in    STD_LOGIC;
1357      i3                             :	in    STD_LOGIC;
1358      i4                             :	in    STD_LOGIC;
1359      i5                             :	in    STD_LOGIC;
1360      nq                             :	out   STD_LOGIC);
1361end component;
1362
1363
1364----- Component noa2a2a23_x4 -----
1365component noa2a2a23_x4
1366-- synopsys translate_off
1367   generic(
1368      Timing_mesg: Boolean := Default_Timing_mesg;
1369      Timing_xgen: Boolean := Default_Timing_xgen;
1370      tpdi0_nq_R                     :	Time := 0.834 ns;
1371      tpdi0_nq_F                     :	Time := 0.814 ns;
1372      tpdi1_nq_R                     :	Time := 0.955 ns;
1373      tpdi1_nq_F                     :	Time := 0.778 ns;
1374      tpdi2_nq_R                     :	Time := 0.620 ns;
1375      tpdi2_nq_F                     :	Time := 0.873 ns;
1376      tpdi3_nq_R                     :	Time := 0.716 ns;
1377      tpdi3_nq_F                     :	Time := 0.833 ns;
1378      tpdi4_nq_R                     :	Time := 0.574 ns;
1379      tpdi4_nq_F                     :	Time := 0.819 ns;
1380      tpdi5_nq_R                     :	Time := 0.496 ns;
1381      tpdi5_nq_F                     :	Time := 0.865 ns;
1382      twdi0_R                        :	Time := 0.000 ns;
1383      twdi0_F                        :	Time := 0.000 ns;
1384      twdi1_R                        :	Time := 0.000 ns;
1385      twdi1_F                        :	Time := 0.000 ns;
1386      twdi2_R                        :	Time := 0.000 ns;
1387      twdi2_F                        :	Time := 0.000 ns;
1388      twdi3_R                        :	Time := 0.000 ns;
1389      twdi3_F                        :	Time := 0.000 ns;
1390      twdi4_R                        :	Time := 0.000 ns;
1391      twdi4_F                        :	Time := 0.000 ns;
1392      twdi5_R                        :	Time := 0.000 ns;
1393      twdi5_F                        :	Time := 0.000 ns);
1394
1395-- synopsys translate_on
1396   port(
1397      i0                             :	in    STD_LOGIC;
1398      i1                             :	in    STD_LOGIC;
1399      i2                             :	in    STD_LOGIC;
1400      i3                             :	in    STD_LOGIC;
1401      i4                             :	in    STD_LOGIC;
1402      i5                             :	in    STD_LOGIC;
1403      nq                             :	out   STD_LOGIC);
1404end component;
1405
1406
1407----- Component noa2a22_x1 -----
1408component noa2a22_x1
1409-- synopsys translate_off
1410   generic(
1411      Timing_mesg: Boolean := Default_Timing_mesg;
1412      Timing_xgen: Boolean := Default_Timing_xgen;
1413      tpdi0_nq_R                     :	Time := 0.151 ns;
1414      tpdi0_nq_F                     :	Time := 0.327 ns;
1415      tpdi1_nq_R                     :	Time := 0.218 ns;
1416      tpdi1_nq_F                     :	Time := 0.287 ns;
1417      tpdi2_nq_R                     :	Time := 0.284 ns;
1418      tpdi2_nq_F                     :	Time := 0.289 ns;
1419      tpdi3_nq_R                     :	Time := 0.372 ns;
1420      tpdi3_nq_F                     :	Time := 0.256 ns;
1421      twdi0_R                        :	Time := 0.000 ns;
1422      twdi0_F                        :	Time := 0.000 ns;
1423      twdi1_R                        :	Time := 0.000 ns;
1424      twdi1_F                        :	Time := 0.000 ns;
1425      twdi2_R                        :	Time := 0.000 ns;
1426      twdi2_F                        :	Time := 0.000 ns;
1427      twdi3_R                        :	Time := 0.000 ns;
1428      twdi3_F                        :	Time := 0.000 ns);
1429
1430-- synopsys translate_on
1431   port(
1432      i0                             :	in    STD_LOGIC;
1433      i1                             :	in    STD_LOGIC;
1434      i2                             :	in    STD_LOGIC;
1435      i3                             :	in    STD_LOGIC;
1436      nq                             :	out   STD_LOGIC);
1437end component;
1438
1439
1440----- Component noa2a22_x4 -----
1441component noa2a22_x4
1442-- synopsys translate_off
1443   generic(
1444      Timing_mesg: Boolean := Default_Timing_mesg;
1445      Timing_xgen: Boolean := Default_Timing_xgen;
1446      tpdi0_nq_R                     :	Time := 0.562 ns;
1447      tpdi0_nq_F                     :	Time := 0.745 ns;
1448      tpdi1_nq_R                     :	Time := 0.646 ns;
1449      tpdi1_nq_F                     :	Time := 0.714 ns;
1450      tpdi2_nq_R                     :	Time := 0.701 ns;
1451      tpdi2_nq_F                     :	Time := 0.703 ns;
1452      tpdi3_nq_R                     :	Time := 0.805 ns;
1453      tpdi3_nq_F                     :	Time := 0.677 ns;
1454      twdi0_R                        :	Time := 0.000 ns;
1455      twdi0_F                        :	Time := 0.000 ns;
1456      twdi1_R                        :	Time := 0.000 ns;
1457      twdi1_F                        :	Time := 0.000 ns;
1458      twdi2_R                        :	Time := 0.000 ns;
1459      twdi2_F                        :	Time := 0.000 ns;
1460      twdi3_R                        :	Time := 0.000 ns;
1461      twdi3_F                        :	Time := 0.000 ns);
1462
1463-- synopsys translate_on
1464   port(
1465      i0                             :	in    STD_LOGIC;
1466      i1                             :	in    STD_LOGIC;
1467      i2                             :	in    STD_LOGIC;
1468      i3                             :	in    STD_LOGIC;
1469      nq                             :	out   STD_LOGIC);
1470end component;
1471
1472
1473----- Component noa2ao222_x1 -----
1474component noa2ao222_x1
1475-- synopsys translate_off
1476   generic(
1477      Timing_mesg: Boolean := Default_Timing_mesg;
1478      Timing_xgen: Boolean := Default_Timing_xgen;
1479      tpdi0_nq_R                     :	Time := 0.348 ns;
1480      tpdi0_nq_F                     :	Time := 0.422 ns;
1481      tpdi1_nq_R                     :	Time := 0.440 ns;
1482      tpdi1_nq_F                     :	Time := 0.378 ns;
1483      tpdi2_nq_R                     :	Time := 0.186 ns;
1484      tpdi2_nq_F                     :	Time := 0.473 ns;
1485      tpdi3_nq_R                     :	Time := 0.256 ns;
1486      tpdi3_nq_F                     :	Time := 0.459 ns;
1487      tpdi4_nq_R                     :	Time := 0.240 ns;
1488      tpdi4_nq_F                     :	Time := 0.309 ns;
1489      twdi0_R                        :	Time := 0.000 ns;
1490      twdi0_F                        :	Time := 0.000 ns;
1491      twdi1_R                        :	Time := 0.000 ns;
1492      twdi1_F                        :	Time := 0.000 ns;
1493      twdi2_R                        :	Time := 0.000 ns;
1494      twdi2_F                        :	Time := 0.000 ns;
1495      twdi3_R                        :	Time := 0.000 ns;
1496      twdi3_F                        :	Time := 0.000 ns;
1497      twdi4_R                        :	Time := 0.000 ns;
1498      twdi4_F                        :	Time := 0.000 ns);
1499
1500-- synopsys translate_on
1501   port(
1502      i0                             :	in    STD_LOGIC;
1503      i1                             :	in    STD_LOGIC;
1504      i2                             :	in    STD_LOGIC;
1505      i3                             :	in    STD_LOGIC;
1506      i4                             :	in    STD_LOGIC;
1507      nq                             :	out   STD_LOGIC);
1508end component;
1509
1510
1511----- Component noa2ao222_x4 -----
1512component noa2ao222_x4
1513-- synopsys translate_off
1514   generic(
1515      Timing_mesg: Boolean := Default_Timing_mesg;
1516      Timing_xgen: Boolean := Default_Timing_xgen;
1517      tpdi0_nq_R                     :	Time := 0.684 ns;
1518      tpdi0_nq_F                     :	Time := 0.801 ns;
1519      tpdi1_nq_R                     :	Time := 0.780 ns;
1520      tpdi1_nq_F                     :	Time := 0.758 ns;
1521      tpdi2_nq_R                     :	Time := 0.638 ns;
1522      tpdi2_nq_F                     :	Time := 0.809 ns;
1523      tpdi3_nq_R                     :	Time := 0.732 ns;
1524      tpdi3_nq_F                     :	Time := 0.795 ns;
1525      tpdi4_nq_R                     :	Time := 0.718 ns;
1526      tpdi4_nq_F                     :	Time := 0.664 ns;
1527      twdi0_R                        :	Time := 0.000 ns;
1528      twdi0_F                        :	Time := 0.000 ns;
1529      twdi1_R                        :	Time := 0.000 ns;
1530      twdi1_F                        :	Time := 0.000 ns;
1531      twdi2_R                        :	Time := 0.000 ns;
1532      twdi2_F                        :	Time := 0.000 ns;
1533      twdi3_R                        :	Time := 0.000 ns;
1534      twdi3_F                        :	Time := 0.000 ns;
1535      twdi4_R                        :	Time := 0.000 ns;
1536      twdi4_F                        :	Time := 0.000 ns);
1537
1538-- synopsys translate_on
1539   port(
1540      i0                             :	in    STD_LOGIC;
1541      i1                             :	in    STD_LOGIC;
1542      i2                             :	in    STD_LOGIC;
1543      i3                             :	in    STD_LOGIC;
1544      i4                             :	in    STD_LOGIC;
1545      nq                             :	out   STD_LOGIC);
1546end component;
1547
1548
1549----- Component noa3ao322_x1 -----
1550component noa3ao322_x1
1551-- synopsys translate_off
1552   generic(
1553      Timing_mesg: Boolean := Default_Timing_mesg;
1554      Timing_xgen: Boolean := Default_Timing_xgen;
1555      tpdi0_nq_R                     :	Time := 0.396 ns;
1556      tpdi0_nq_F                     :	Time := 0.616 ns;
1557      tpdi1_nq_R                     :	Time := 0.486 ns;
1558      tpdi1_nq_F                     :	Time := 0.552 ns;
1559      tpdi2_nq_R                     :	Time := 0.546 ns;
1560      tpdi2_nq_F                     :	Time := 0.488 ns;
1561      tpdi3_nq_R                     :	Time := 0.196 ns;
1562      tpdi3_nq_F                     :	Time := 0.599 ns;
1563      tpdi4_nq_R                     :	Time := 0.264 ns;
1564      tpdi4_nq_F                     :	Time := 0.608 ns;
1565      tpdi5_nq_R                     :	Time := 0.328 ns;
1566      tpdi5_nq_F                     :	Time := 0.581 ns;
1567      tpdi6_nq_R                     :	Time := 0.246 ns;
1568      tpdi6_nq_F                     :	Time := 0.311 ns;
1569      twdi0_R                        :	Time := 0.000 ns;
1570      twdi0_F                        :	Time := 0.000 ns;
1571      twdi1_R                        :	Time := 0.000 ns;
1572      twdi1_F                        :	Time := 0.000 ns;
1573      twdi2_R                        :	Time := 0.000 ns;
1574      twdi2_F                        :	Time := 0.000 ns;
1575      twdi3_R                        :	Time := 0.000 ns;
1576      twdi3_F                        :	Time := 0.000 ns;
1577      twdi4_R                        :	Time := 0.000 ns;
1578      twdi4_F                        :	Time := 0.000 ns;
1579      twdi5_R                        :	Time := 0.000 ns;
1580      twdi5_F                        :	Time := 0.000 ns;
1581      twdi6_R                        :	Time := 0.000 ns;
1582      twdi6_F                        :	Time := 0.000 ns);
1583
1584-- synopsys translate_on
1585   port(
1586      i0                             :	in    STD_LOGIC;
1587      i1                             :	in    STD_LOGIC;
1588      i2                             :	in    STD_LOGIC;
1589      i3                             :	in    STD_LOGIC;
1590      i4                             :	in    STD_LOGIC;
1591      i5                             :	in    STD_LOGIC;
1592      i6                             :	in    STD_LOGIC;
1593      nq                             :	out   STD_LOGIC);
1594end component;
1595
1596
1597----- Component noa3ao322_x4 -----
1598component noa3ao322_x4
1599-- synopsys translate_off
1600   generic(
1601      Timing_mesg: Boolean := Default_Timing_mesg;
1602      Timing_xgen: Boolean := Default_Timing_xgen;
1603      tpdi0_nq_R                     :	Time := 0.819 ns;
1604      tpdi0_nq_F                     :	Time := 0.987 ns;
1605      tpdi1_nq_R                     :	Time := 0.914 ns;
1606      tpdi1_nq_F                     :	Time := 0.931 ns;
1607      tpdi2_nq_R                     :	Time := 0.990 ns;
1608      tpdi2_nq_F                     :	Time := 0.874 ns;
1609      tpdi3_nq_R                     :	Time := 0.729 ns;
1610      tpdi3_nq_F                     :	Time := 0.926 ns;
1611      tpdi4_nq_R                     :	Time := 0.821 ns;
1612      tpdi4_nq_F                     :	Time := 0.924 ns;
1613      tpdi5_nq_R                     :	Time := 0.907 ns;
1614      tpdi5_nq_F                     :	Time := 0.900 ns;
1615      tpdi6_nq_R                     :	Time := 0.738 ns;
1616      tpdi6_nq_F                     :	Time := 0.718 ns;
1617      twdi0_R                        :	Time := 0.000 ns;
1618      twdi0_F                        :	Time := 0.000 ns;
1619      twdi1_R                        :	Time := 0.000 ns;
1620      twdi1_F                        :	Time := 0.000 ns;
1621      twdi2_R                        :	Time := 0.000 ns;
1622      twdi2_F                        :	Time := 0.000 ns;
1623      twdi3_R                        :	Time := 0.000 ns;
1624      twdi3_F                        :	Time := 0.000 ns;
1625      twdi4_R                        :	Time := 0.000 ns;
1626      twdi4_F                        :	Time := 0.000 ns;
1627      twdi5_R                        :	Time := 0.000 ns;
1628      twdi5_F                        :	Time := 0.000 ns;
1629      twdi6_R                        :	Time := 0.000 ns;
1630      twdi6_F                        :	Time := 0.000 ns);
1631
1632-- synopsys translate_on
1633   port(
1634      i0                             :	in    STD_LOGIC;
1635      i1                             :	in    STD_LOGIC;
1636      i2                             :	in    STD_LOGIC;
1637      i3                             :	in    STD_LOGIC;
1638      i4                             :	in    STD_LOGIC;
1639      i5                             :	in    STD_LOGIC;
1640      i6                             :	in    STD_LOGIC;
1641      nq                             :	out   STD_LOGIC);
1642end component;
1643
1644
1645----- Component noa22_x1 -----
1646component noa22_x1
1647-- synopsys translate_off
1648   generic(
1649      Timing_mesg: Boolean := Default_Timing_mesg;
1650      Timing_xgen: Boolean := Default_Timing_xgen;
1651      tpdi0_nq_R                     :	Time := 0.151 ns;
1652      tpdi0_nq_F                     :	Time := 0.327 ns;
1653      tpdi1_nq_R                     :	Time := 0.218 ns;
1654      tpdi1_nq_F                     :	Time := 0.287 ns;
1655      tpdi2_nq_R                     :	Time := 0.218 ns;
1656      tpdi2_nq_F                     :	Time := 0.241 ns;
1657      twdi0_R                        :	Time := 0.000 ns;
1658      twdi0_F                        :	Time := 0.000 ns;
1659      twdi1_R                        :	Time := 0.000 ns;
1660      twdi1_F                        :	Time := 0.000 ns;
1661      twdi2_R                        :	Time := 0.000 ns;
1662      twdi2_F                        :	Time := 0.000 ns);
1663
1664-- synopsys translate_on
1665   port(
1666      i0                             :	in    STD_LOGIC;
1667      i1                             :	in    STD_LOGIC;
1668      i2                             :	in    STD_LOGIC;
1669      nq                             :	out   STD_LOGIC);
1670end component;
1671
1672
1673----- Component noa22_x4 -----
1674component noa22_x4
1675-- synopsys translate_off
1676   generic(
1677      Timing_mesg: Boolean := Default_Timing_mesg;
1678      Timing_xgen: Boolean := Default_Timing_xgen;
1679      tpdi0_nq_R                     :	Time := 0.550 ns;
1680      tpdi0_nq_F                     :	Time := 0.740 ns;
1681      tpdi1_nq_R                     :	Time := 0.643 ns;
1682      tpdi1_nq_F                     :	Time := 0.709 ns;
1683      tpdi2_nq_R                     :	Time := 0.610 ns;
1684      tpdi2_nq_F                     :	Time := 0.646 ns;
1685      twdi0_R                        :	Time := 0.000 ns;
1686      twdi0_F                        :	Time := 0.000 ns;
1687      twdi1_R                        :	Time := 0.000 ns;
1688      twdi1_F                        :	Time := 0.000 ns;
1689      twdi2_R                        :	Time := 0.000 ns;
1690      twdi2_F                        :	Time := 0.000 ns);
1691
1692-- synopsys translate_on
1693   port(
1694      i0                             :	in    STD_LOGIC;
1695      i1                             :	in    STD_LOGIC;
1696      i2                             :	in    STD_LOGIC;
1697      nq                             :	out   STD_LOGIC);
1698end component;
1699
1700
1701----- Component nts_x1 -----
1702component nts_x1
1703-- synopsys translate_off
1704   generic(
1705      Timing_mesg: Boolean := Default_Timing_mesg;
1706      Timing_xgen: Boolean := Default_Timing_xgen;
1707      tpdcmd_nq_R                    :	Time := 0.249 ns;
1708      tpdcmd_nq_F                    :	Time := 0.041 ns;
1709      tpdcmd_nq_LZ                   :	Time := 0.249 ns;
1710      tpdcmd_nq_HZ                   :	Time := 0.041 ns;
1711      tpdi_nq_R                      :	Time := 0.169 ns;
1712      tpdi_nq_F                      :	Time := 0.201 ns;
1713      twdi_R                         :	Time := 0.000 ns;
1714      twdi_F                         :	Time := 0.000 ns;
1715      twdcmd_R                       :	Time := 0.000 ns;
1716      twdcmd_F                       :	Time := 0.000 ns);
1717
1718-- synopsys translate_on
1719   port(
1720      i                              :	in    STD_LOGIC;
1721      cmd                            :	in    STD_LOGIC;
1722      nq                             :	out   STD_LOGIC);
1723end component;
1724
1725
1726----- Component nts_x2 -----
1727component nts_x2
1728-- synopsys translate_off
1729   generic(
1730      Timing_mesg: Boolean := Default_Timing_mesg;
1731      Timing_xgen: Boolean := Default_Timing_xgen;
1732      tpdcmd_nq_R                    :	Time := 0.330 ns;
1733      tpdcmd_nq_F                    :	Time := 0.033 ns;
1734      tpdcmd_nq_LZ                   :	Time := 0.330 ns;
1735      tpdcmd_nq_HZ                   :	Time := 0.033 ns;
1736      tpdi_nq_R                      :	Time := 0.167 ns;
1737      tpdi_nq_F                      :	Time := 0.201 ns;
1738      twdi_R                         :	Time := 0.000 ns;
1739      twdi_F                         :	Time := 0.000 ns;
1740      twdcmd_R                       :	Time := 0.000 ns;
1741      twdcmd_F                       :	Time := 0.000 ns);
1742
1743-- synopsys translate_on
1744   port(
1745      i                              :	in    STD_LOGIC;
1746      cmd                            :	in    STD_LOGIC;
1747      nq                             :	out   STD_LOGIC);
1748end component;
1749
1750
1751----- Component nxr2_x1 -----
1752component nxr2_x1
1753-- synopsys translate_off
1754   generic(
1755      Timing_mesg: Boolean := Default_Timing_mesg;
1756      Timing_xgen: Boolean := Default_Timing_xgen;
1757      tpdi0_nq_R                     :	Time := 0.288 ns;
1758      tpdi0_nq_F                     :	Time := 0.293 ns;
1759      tpdi1_nq_R                     :	Time := 0.156 ns;
1760      tpdi1_nq_F                     :	Time := 0.327 ns;
1761      twdi0_R                        :	Time := 0.000 ns;
1762      twdi0_F                        :	Time := 0.000 ns;
1763      twdi1_R                        :	Time := 0.000 ns;
1764      twdi1_F                        :	Time := 0.000 ns);
1765
1766-- synopsys translate_on
1767   port(
1768      i0                             :	in    STD_LOGIC;
1769      i1                             :	in    STD_LOGIC;
1770      nq                             :	out   STD_LOGIC);
1771end component;
1772
1773
1774----- Component nxr2_x4 -----
1775component nxr2_x4
1776-- synopsys translate_off
1777   generic(
1778      Timing_mesg: Boolean := Default_Timing_mesg;
1779      Timing_xgen: Boolean := Default_Timing_xgen;
1780      tpdi0_nq_R                     :	Time := 0.522 ns;
1781      tpdi0_nq_F                     :	Time := 0.553 ns;
1782      tpdi1_nq_R                     :	Time := 0.553 ns;
1783      tpdi1_nq_F                     :	Time := 0.542 ns;
1784      twdi0_R                        :	Time := 0.000 ns;
1785      twdi0_F                        :	Time := 0.000 ns;
1786      twdi1_R                        :	Time := 0.000 ns;
1787      twdi1_F                        :	Time := 0.000 ns);
1788
1789-- synopsys translate_on
1790   port(
1791      i0                             :	in    STD_LOGIC;
1792      i1                             :	in    STD_LOGIC;
1793      nq                             :	out   STD_LOGIC);
1794end component;
1795
1796
1797----- Component o2_x2 -----
1798component o2_x2
1799-- synopsys translate_off
1800   generic(
1801      Timing_mesg: Boolean := Default_Timing_mesg;
1802      Timing_xgen: Boolean := Default_Timing_xgen;
1803      tpdi0_q_R                      :	Time := 0.406 ns;
1804      tpdi0_q_F                      :	Time := 0.310 ns;
1805      tpdi1_q_R                      :	Time := 0.335 ns;
1806      tpdi1_q_F                      :	Time := 0.364 ns;
1807      twdi0_R                        :	Time := 0.000 ns;
1808      twdi0_F                        :	Time := 0.000 ns;
1809      twdi1_R                        :	Time := 0.000 ns;
1810      twdi1_F                        :	Time := 0.000 ns);
1811
1812-- synopsys translate_on
1813   port(
1814      i0                             :	in    STD_LOGIC;
1815      i1                             :	in    STD_LOGIC;
1816      q                              :	out   STD_LOGIC);
1817end component;
1818
1819
1820----- Component o2_x4 -----
1821component o2_x4
1822-- synopsys translate_off
1823   generic(
1824      Timing_mesg: Boolean := Default_Timing_mesg;
1825      Timing_xgen: Boolean := Default_Timing_xgen;
1826      tpdi0_q_R                      :	Time := 0.491 ns;
1827      tpdi0_q_F                      :	Time := 0.394 ns;
1828      tpdi1_q_R                      :	Time := 0.427 ns;
1829      tpdi1_q_F                      :	Time := 0.464 ns;
1830      twdi0_R                        :	Time := 0.000 ns;
1831      twdi0_F                        :	Time := 0.000 ns;
1832      twdi1_R                        :	Time := 0.000 ns;
1833      twdi1_F                        :	Time := 0.000 ns);
1834
1835-- synopsys translate_on
1836   port(
1837      i0                             :	in    STD_LOGIC;
1838      i1                             :	in    STD_LOGIC;
1839      q                              :	out   STD_LOGIC);
1840end component;
1841
1842
1843----- Component o3_x2 -----
1844component o3_x2
1845-- synopsys translate_off
1846   generic(
1847      Timing_mesg: Boolean := Default_Timing_mesg;
1848      Timing_xgen: Boolean := Default_Timing_xgen;
1849      tpdi0_q_R                      :	Time := 0.494 ns;
1850      tpdi0_q_F                      :	Time := 0.407 ns;
1851      tpdi1_q_R                      :	Time := 0.430 ns;
1852      tpdi1_q_F                      :	Time := 0.482 ns;
1853      tpdi2_q_R                      :	Time := 0.360 ns;
1854      tpdi2_q_F                      :	Time := 0.506 ns;
1855      twdi0_R                        :	Time := 0.000 ns;
1856      twdi0_F                        :	Time := 0.000 ns;
1857      twdi1_R                        :	Time := 0.000 ns;
1858      twdi1_F                        :	Time := 0.000 ns;
1859      twdi2_R                        :	Time := 0.000 ns;
1860      twdi2_F                        :	Time := 0.000 ns);
1861
1862-- synopsys translate_on
1863   port(
1864      i0                             :	in    STD_LOGIC;
1865      i1                             :	in    STD_LOGIC;
1866      i2                             :	in    STD_LOGIC;
1867      q                              :	out   STD_LOGIC);
1868end component;
1869
1870
1871----- Component o3_x4 -----
1872component o3_x4
1873-- synopsys translate_off
1874   generic(
1875      Timing_mesg: Boolean := Default_Timing_mesg;
1876      Timing_xgen: Boolean := Default_Timing_xgen;
1877      tpdi0_q_R                      :	Time := 0.569 ns;
1878      tpdi0_q_F                      :	Time := 0.501 ns;
1879      tpdi1_q_R                      :	Time := 0.510 ns;
1880      tpdi1_q_F                      :	Time := 0.585 ns;
1881      tpdi2_q_R                      :	Time := 0.447 ns;
1882      tpdi2_q_F                      :	Time := 0.622 ns;
1883      twdi0_R                        :	Time := 0.000 ns;
1884      twdi0_F                        :	Time := 0.000 ns;
1885      twdi1_R                        :	Time := 0.000 ns;
1886      twdi1_F                        :	Time := 0.000 ns;
1887      twdi2_R                        :	Time := 0.000 ns;
1888      twdi2_F                        :	Time := 0.000 ns);
1889
1890-- synopsys translate_on
1891   port(
1892      i0                             :	in    STD_LOGIC;
1893      i1                             :	in    STD_LOGIC;
1894      i2                             :	in    STD_LOGIC;
1895      q                              :	out   STD_LOGIC);
1896end component;
1897
1898
1899----- Component o4_x2 -----
1900component o4_x2
1901-- synopsys translate_off
1902   generic(
1903      Timing_mesg: Boolean := Default_Timing_mesg;
1904      Timing_xgen: Boolean := Default_Timing_xgen;
1905      tpdi0_q_R                      :	Time := 0.508 ns;
1906      tpdi0_q_F                      :	Time := 0.601 ns;
1907      tpdi1_q_R                      :	Time := 0.446 ns;
1908      tpdi1_q_F                      :	Time := 0.631 ns;
1909      tpdi2_q_R                      :	Time := 0.567 ns;
1910      tpdi2_q_F                      :	Time := 0.531 ns;
1911      tpdi3_q_R                      :	Time := 0.378 ns;
1912      tpdi3_q_F                      :	Time := 0.626 ns;
1913      twdi0_R                        :	Time := 0.000 ns;
1914      twdi0_F                        :	Time := 0.000 ns;
1915      twdi1_R                        :	Time := 0.000 ns;
1916      twdi1_F                        :	Time := 0.000 ns;
1917      twdi2_R                        :	Time := 0.000 ns;
1918      twdi2_F                        :	Time := 0.000 ns;
1919      twdi3_R                        :	Time := 0.000 ns;
1920      twdi3_F                        :	Time := 0.000 ns);
1921
1922-- synopsys translate_on
1923   port(
1924      i0                             :	in    STD_LOGIC;
1925      i1                             :	in    STD_LOGIC;
1926      i2                             :	in    STD_LOGIC;
1927      i3                             :	in    STD_LOGIC;
1928      q                              :	out   STD_LOGIC);
1929end component;
1930
1931
1932----- Component o4_x4 -----
1933component o4_x4
1934-- synopsys translate_off
1935   generic(
1936      Timing_mesg: Boolean := Default_Timing_mesg;
1937      Timing_xgen: Boolean := Default_Timing_xgen;
1938      tpdi0_q_R                      :	Time := 0.574 ns;
1939      tpdi0_q_F                      :	Time := 0.638 ns;
1940      tpdi1_q_R                      :	Time := 0.492 ns;
1941      tpdi1_q_F                      :	Time := 0.650 ns;
1942      tpdi2_q_R                      :	Time := 0.649 ns;
1943      tpdi2_q_F                      :	Time := 0.611 ns;
1944      tpdi3_q_R                      :	Time := 0.721 ns;
1945      tpdi3_q_F                      :	Time := 0.536 ns;
1946      twdi0_R                        :	Time := 0.000 ns;
1947      twdi0_F                        :	Time := 0.000 ns;
1948      twdi1_R                        :	Time := 0.000 ns;
1949      twdi1_F                        :	Time := 0.000 ns;
1950      twdi2_R                        :	Time := 0.000 ns;
1951      twdi2_F                        :	Time := 0.000 ns;
1952      twdi3_R                        :	Time := 0.000 ns;
1953      twdi3_F                        :	Time := 0.000 ns);
1954
1955-- synopsys translate_on
1956   port(
1957      i0                             :	in    STD_LOGIC;
1958      i1                             :	in    STD_LOGIC;
1959      i2                             :	in    STD_LOGIC;
1960      i3                             :	in    STD_LOGIC;
1961      q                              :	out   STD_LOGIC);
1962end component;
1963
1964
1965----- Component oa2a2a2a24_x2 -----
1966component oa2a2a2a24_x2
1967-- synopsys translate_off
1968   generic(
1969      Timing_mesg: Boolean := Default_Timing_mesg;
1970      Timing_xgen: Boolean := Default_Timing_xgen;
1971      tpdi0_q_R                      :	Time := 0.780 ns;
1972      tpdi0_q_F                      :	Time := 0.797 ns;
1973      tpdi1_q_R                      :	Time := 0.909 ns;
1974      tpdi1_q_F                      :	Time := 0.753 ns;
1975      tpdi2_q_R                      :	Time := 0.682 ns;
1976      tpdi2_q_F                      :	Time := 0.856 ns;
1977      tpdi3_q_R                      :	Time := 0.803 ns;
1978      tpdi3_q_F                      :	Time := 0.810 ns;
1979      tpdi4_q_R                      :	Time := 0.565 ns;
1980      tpdi4_q_F                      :	Time := 0.813 ns;
1981      tpdi5_q_R                      :	Time := 0.467 ns;
1982      tpdi5_q_F                      :	Time := 0.861 ns;
1983      tpdi6_q_R                      :	Time := 0.426 ns;
1984      tpdi6_q_F                      :	Time := 0.748 ns;
1985      tpdi7_q_R                      :	Time := 0.346 ns;
1986      tpdi7_q_F                      :	Time := 0.800 ns;
1987      twdi0_R                        :	Time := 0.000 ns;
1988      twdi0_F                        :	Time := 0.000 ns;
1989      twdi1_R                        :	Time := 0.000 ns;
1990      twdi1_F                        :	Time := 0.000 ns;
1991      twdi2_R                        :	Time := 0.000 ns;
1992      twdi2_F                        :	Time := 0.000 ns;
1993      twdi3_R                        :	Time := 0.000 ns;
1994      twdi3_F                        :	Time := 0.000 ns;
1995      twdi4_R                        :	Time := 0.000 ns;
1996      twdi4_F                        :	Time := 0.000 ns;
1997      twdi5_R                        :	Time := 0.000 ns;
1998      twdi5_F                        :	Time := 0.000 ns;
1999      twdi6_R                        :	Time := 0.000 ns;
2000      twdi6_F                        :	Time := 0.000 ns;
2001      twdi7_R                        :	Time := 0.000 ns;
2002      twdi7_F                        :	Time := 0.000 ns);
2003
2004-- synopsys translate_on
2005   port(
2006      i0                             :	in    STD_LOGIC;
2007      i1                             :	in    STD_LOGIC;
2008      i2                             :	in    STD_LOGIC;
2009      i3                             :	in    STD_LOGIC;
2010      i4                             :	in    STD_LOGIC;
2011      i5                             :	in    STD_LOGIC;
2012      i6                             :	in    STD_LOGIC;
2013      i7                             :	in    STD_LOGIC;
2014      q                              :	out   STD_LOGIC);
2015end component;
2016
2017
2018----- Component oa2a2a2a24_x4 -----
2019component oa2a2a2a24_x4
2020-- synopsys translate_off
2021   generic(
2022      Timing_mesg: Boolean := Default_Timing_mesg;
2023      Timing_xgen: Boolean := Default_Timing_xgen;
2024      tpdi0_q_R                      :	Time := 0.823 ns;
2025      tpdi0_q_F                      :	Time := 0.879 ns;
2026      tpdi1_q_R                      :	Time := 0.955 ns;
2027      tpdi1_q_F                      :	Time := 0.835 ns;
2028      tpdi2_q_R                      :	Time := 0.726 ns;
2029      tpdi2_q_F                      :	Time := 0.940 ns;
2030      tpdi3_q_R                      :	Time := 0.851 ns;
2031      tpdi3_q_F                      :	Time := 0.895 ns;
2032      tpdi4_q_R                      :	Time := 0.619 ns;
2033      tpdi4_q_F                      :	Time := 0.902 ns;
2034      tpdi5_q_R                      :	Time := 0.515 ns;
2035      tpdi5_q_F                      :	Time := 0.949 ns;
2036      tpdi6_q_R                      :	Time := 0.487 ns;
2037      tpdi6_q_F                      :	Time := 0.845 ns;
2038      tpdi7_q_R                      :	Time := 0.399 ns;
2039      tpdi7_q_F                      :	Time := 0.895 ns;
2040      twdi0_R                        :	Time := 0.000 ns;
2041      twdi0_F                        :	Time := 0.000 ns;
2042      twdi1_R                        :	Time := 0.000 ns;
2043      twdi1_F                        :	Time := 0.000 ns;
2044      twdi2_R                        :	Time := 0.000 ns;
2045      twdi2_F                        :	Time := 0.000 ns;
2046      twdi3_R                        :	Time := 0.000 ns;
2047      twdi3_F                        :	Time := 0.000 ns;
2048      twdi4_R                        :	Time := 0.000 ns;
2049      twdi4_F                        :	Time := 0.000 ns;
2050      twdi5_R                        :	Time := 0.000 ns;
2051      twdi5_F                        :	Time := 0.000 ns;
2052      twdi6_R                        :	Time := 0.000 ns;
2053      twdi6_F                        :	Time := 0.000 ns;
2054      twdi7_R                        :	Time := 0.000 ns;
2055      twdi7_F                        :	Time := 0.000 ns);
2056
2057-- synopsys translate_on
2058   port(
2059      i0                             :	in    STD_LOGIC;
2060      i1                             :	in    STD_LOGIC;
2061      i2                             :	in    STD_LOGIC;
2062      i3                             :	in    STD_LOGIC;
2063      i4                             :	in    STD_LOGIC;
2064      i5                             :	in    STD_LOGIC;
2065      i6                             :	in    STD_LOGIC;
2066      i7                             :	in    STD_LOGIC;
2067      q                              :	out   STD_LOGIC);
2068end component;
2069
2070
2071----- Component oa2a2a23_x2 -----
2072component oa2a2a23_x2
2073-- synopsys translate_off
2074   generic(
2075      Timing_mesg: Boolean := Default_Timing_mesg;
2076      Timing_xgen: Boolean := Default_Timing_xgen;
2077      tpdi0_q_R                      :	Time := 0.653 ns;
2078      tpdi0_q_F                      :	Time := 0.578 ns;
2079      tpdi1_q_R                      :	Time := 0.775 ns;
2080      tpdi1_q_F                      :	Time := 0.542 ns;
2081      tpdi2_q_R                      :	Time := 0.441 ns;
2082      tpdi2_q_F                      :	Time := 0.639 ns;
2083      tpdi3_q_R                      :	Time := 0.540 ns;
2084      tpdi3_q_F                      :	Time := 0.600 ns;
2085      tpdi4_q_R                      :	Time := 0.402 ns;
2086      tpdi4_q_F                      :	Time := 0.591 ns;
2087      tpdi5_q_R                      :	Time := 0.321 ns;
2088      tpdi5_q_F                      :	Time := 0.636 ns;
2089      twdi0_R                        :	Time := 0.000 ns;
2090      twdi0_F                        :	Time := 0.000 ns;
2091      twdi1_R                        :	Time := 0.000 ns;
2092      twdi1_F                        :	Time := 0.000 ns;
2093      twdi2_R                        :	Time := 0.000 ns;
2094      twdi2_F                        :	Time := 0.000 ns;
2095      twdi3_R                        :	Time := 0.000 ns;
2096      twdi3_F                        :	Time := 0.000 ns;
2097      twdi4_R                        :	Time := 0.000 ns;
2098      twdi4_F                        :	Time := 0.000 ns;
2099      twdi5_R                        :	Time := 0.000 ns;
2100      twdi5_F                        :	Time := 0.000 ns);
2101
2102-- synopsys translate_on
2103   port(
2104      i0                             :	in    STD_LOGIC;
2105      i1                             :	in    STD_LOGIC;
2106      i2                             :	in    STD_LOGIC;
2107      i3                             :	in    STD_LOGIC;
2108      i4                             :	in    STD_LOGIC;
2109      i5                             :	in    STD_LOGIC;
2110      q                              :	out   STD_LOGIC);
2111end component;
2112
2113
2114----- Component oa2a2a23_x4 -----
2115component oa2a2a23_x4
2116-- synopsys translate_off
2117   generic(
2118      Timing_mesg: Boolean := Default_Timing_mesg;
2119      Timing_xgen: Boolean := Default_Timing_xgen;
2120      tpdi0_q_R                      :	Time := 0.699 ns;
2121      tpdi0_q_F                      :	Time := 0.648 ns;
2122      tpdi1_q_R                      :	Time := 0.822 ns;
2123      tpdi1_q_F                      :	Time := 0.613 ns;
2124      tpdi2_q_R                      :	Time := 0.493 ns;
2125      tpdi2_q_F                      :	Time := 0.715 ns;
2126      tpdi3_q_R                      :	Time := 0.594 ns;
2127      tpdi3_q_F                      :	Time := 0.677 ns;
2128      tpdi4_q_R                      :	Time := 0.464 ns;
2129      tpdi4_q_F                      :	Time := 0.673 ns;
2130      tpdi5_q_R                      :	Time := 0.379 ns;
2131      tpdi5_q_F                      :	Time := 0.714 ns;
2132      twdi0_R                        :	Time := 0.000 ns;
2133      twdi0_F                        :	Time := 0.000 ns;
2134      twdi1_R                        :	Time := 0.000 ns;
2135      twdi1_F                        :	Time := 0.000 ns;
2136      twdi2_R                        :	Time := 0.000 ns;
2137      twdi2_F                        :	Time := 0.000 ns;
2138      twdi3_R                        :	Time := 0.000 ns;
2139      twdi3_F                        :	Time := 0.000 ns;
2140      twdi4_R                        :	Time := 0.000 ns;
2141      twdi4_F                        :	Time := 0.000 ns;
2142      twdi5_R                        :	Time := 0.000 ns;
2143      twdi5_F                        :	Time := 0.000 ns);
2144
2145-- synopsys translate_on
2146   port(
2147      i0                             :	in    STD_LOGIC;
2148      i1                             :	in    STD_LOGIC;
2149      i2                             :	in    STD_LOGIC;
2150      i3                             :	in    STD_LOGIC;
2151      i4                             :	in    STD_LOGIC;
2152      i5                             :	in    STD_LOGIC;
2153      q                              :	out   STD_LOGIC);
2154end component;
2155
2156
2157----- Component oa2a22_x2 -----
2158component oa2a22_x2
2159-- synopsys translate_off
2160   generic(
2161      Timing_mesg: Boolean := Default_Timing_mesg;
2162      Timing_xgen: Boolean := Default_Timing_xgen;
2163      tpdi0_q_R                      :	Time := 0.403 ns;
2164      tpdi0_q_F                      :	Time := 0.564 ns;
2165      tpdi1_q_R                      :	Time := 0.495 ns;
2166      tpdi1_q_F                      :	Time := 0.534 ns;
2167      tpdi2_q_R                      :	Time := 0.646 ns;
2168      tpdi2_q_F                      :	Time := 0.487 ns;
2169      tpdi3_q_R                      :	Time := 0.537 ns;
2170      tpdi3_q_F                      :	Time := 0.512 ns;
2171      twdi0_R                        :	Time := 0.000 ns;
2172      twdi0_F                        :	Time := 0.000 ns;
2173      twdi1_R                        :	Time := 0.000 ns;
2174      twdi1_F                        :	Time := 0.000 ns;
2175      twdi2_R                        :	Time := 0.000 ns;
2176      twdi2_F                        :	Time := 0.000 ns;
2177      twdi3_R                        :	Time := 0.000 ns;
2178      twdi3_F                        :	Time := 0.000 ns);
2179
2180-- synopsys translate_on
2181   port(
2182      i0                             :	in    STD_LOGIC;
2183      i1                             :	in    STD_LOGIC;
2184      i2                             :	in    STD_LOGIC;
2185      i3                             :	in    STD_LOGIC;
2186      q                              :	out   STD_LOGIC);
2187end component;
2188
2189
2190----- Component oa2a22_x4 -----
2191component oa2a22_x4
2192-- synopsys translate_off
2193   generic(
2194      Timing_mesg: Boolean := Default_Timing_mesg;
2195      Timing_xgen: Boolean := Default_Timing_xgen;
2196      tpdi0_q_R                      :	Time := 0.519 ns;
2197      tpdi0_q_F                      :	Time := 0.696 ns;
2198      tpdi1_q_R                      :	Time := 0.624 ns;
2199      tpdi1_q_F                      :	Time := 0.669 ns;
2200      tpdi2_q_R                      :	Time := 0.763 ns;
2201      tpdi2_q_F                      :	Time := 0.596 ns;
2202      tpdi3_q_R                      :	Time := 0.644 ns;
2203      tpdi3_q_F                      :	Time := 0.619 ns;
2204      twdi0_R                        :	Time := 0.000 ns;
2205      twdi0_F                        :	Time := 0.000 ns;
2206      twdi1_R                        :	Time := 0.000 ns;
2207      twdi1_F                        :	Time := 0.000 ns;
2208      twdi2_R                        :	Time := 0.000 ns;
2209      twdi2_F                        :	Time := 0.000 ns;
2210      twdi3_R                        :	Time := 0.000 ns;
2211      twdi3_F                        :	Time := 0.000 ns);
2212
2213-- synopsys translate_on
2214   port(
2215      i0                             :	in    STD_LOGIC;
2216      i1                             :	in    STD_LOGIC;
2217      i2                             :	in    STD_LOGIC;
2218      i3                             :	in    STD_LOGIC;
2219      q                              :	out   STD_LOGIC);
2220end component;
2221
2222
2223----- Component oa2ao222_x2 -----
2224component oa2ao222_x2
2225-- synopsys translate_off
2226   generic(
2227      Timing_mesg: Boolean := Default_Timing_mesg;
2228      Timing_xgen: Boolean := Default_Timing_xgen;
2229      tpdi0_q_R                      :	Time := 0.495 ns;
2230      tpdi0_q_F                      :	Time := 0.581 ns;
2231      tpdi1_q_R                      :	Time := 0.598 ns;
2232      tpdi1_q_F                      :	Time := 0.539 ns;
2233      tpdi2_q_R                      :	Time := 0.464 ns;
2234      tpdi2_q_F                      :	Time := 0.604 ns;
2235      tpdi3_q_R                      :	Time := 0.556 ns;
2236      tpdi3_q_F                      :	Time := 0.578 ns;
2237      tpdi4_q_R                      :	Time := 0.558 ns;
2238      tpdi4_q_F                      :	Time := 0.453 ns;
2239      twdi0_R                        :	Time := 0.000 ns;
2240      twdi0_F                        :	Time := 0.000 ns;
2241      twdi1_R                        :	Time := 0.000 ns;
2242      twdi1_F                        :	Time := 0.000 ns;
2243      twdi2_R                        :	Time := 0.000 ns;
2244      twdi2_F                        :	Time := 0.000 ns;
2245      twdi3_R                        :	Time := 0.000 ns;
2246      twdi3_F                        :	Time := 0.000 ns;
2247      twdi4_R                        :	Time := 0.000 ns;
2248      twdi4_F                        :	Time := 0.000 ns);
2249
2250-- synopsys translate_on
2251   port(
2252      i0                             :	in    STD_LOGIC;
2253      i1                             :	in    STD_LOGIC;
2254      i2                             :	in    STD_LOGIC;
2255      i3                             :	in    STD_LOGIC;
2256      i4                             :	in    STD_LOGIC;
2257      q                              :	out   STD_LOGIC);
2258end component;
2259
2260
2261----- Component oa2ao222_x4 -----
2262component oa2ao222_x4
2263-- synopsys translate_off
2264   generic(
2265      Timing_mesg: Boolean := Default_Timing_mesg;
2266      Timing_xgen: Boolean := Default_Timing_xgen;
2267      tpdi0_q_R                      :	Time := 0.553 ns;
2268      tpdi0_q_F                      :	Time := 0.657 ns;
2269      tpdi1_q_R                      :	Time := 0.662 ns;
2270      tpdi1_q_F                      :	Time := 0.616 ns;
2271      tpdi2_q_R                      :	Time := 0.552 ns;
2272      tpdi2_q_F                      :	Time := 0.693 ns;
2273      tpdi3_q_R                      :	Time := 0.640 ns;
2274      tpdi3_q_F                      :	Time := 0.660 ns;
2275      tpdi4_q_R                      :	Time := 0.656 ns;
2276      tpdi4_q_F                      :	Time := 0.529 ns;
2277      twdi0_R                        :	Time := 0.000 ns;
2278      twdi0_F                        :	Time := 0.000 ns;
2279      twdi1_R                        :	Time := 0.000 ns;
2280      twdi1_F                        :	Time := 0.000 ns;
2281      twdi2_R                        :	Time := 0.000 ns;
2282      twdi2_F                        :	Time := 0.000 ns;
2283      twdi3_R                        :	Time := 0.000 ns;
2284      twdi3_F                        :	Time := 0.000 ns;
2285      twdi4_R                        :	Time := 0.000 ns;
2286      twdi4_F                        :	Time := 0.000 ns);
2287
2288-- synopsys translate_on
2289   port(
2290      i0                             :	in    STD_LOGIC;
2291      i1                             :	in    STD_LOGIC;
2292      i2                             :	in    STD_LOGIC;
2293      i3                             :	in    STD_LOGIC;
2294      i4                             :	in    STD_LOGIC;
2295      q                              :	out   STD_LOGIC);
2296end component;
2297
2298
2299----- Component oa3ao322_x2 -----
2300component oa3ao322_x2
2301-- synopsys translate_off
2302   generic(
2303      Timing_mesg: Boolean := Default_Timing_mesg;
2304      Timing_xgen: Boolean := Default_Timing_xgen;
2305      tpdi0_q_R                      :	Time := 0.638 ns;
2306      tpdi0_q_F                      :	Time := 0.820 ns;
2307      tpdi1_q_R                      :	Time := 0.735 ns;
2308      tpdi1_q_F                      :	Time := 0.764 ns;
2309      tpdi2_q_R                      :	Time := 0.806 ns;
2310      tpdi2_q_F                      :	Time := 0.707 ns;
2311      tpdi3_q_R                      :	Time := 0.560 ns;
2312      tpdi3_q_F                      :	Time := 0.765 ns;
2313      tpdi4_q_R                      :	Time := 0.649 ns;
2314      tpdi4_q_F                      :	Time := 0.760 ns;
2315      tpdi5_q_R                      :	Time := 0.734 ns;
2316      tpdi5_q_F                      :	Time := 0.734 ns;
2317      tpdi6_q_R                      :	Time := 0.563 ns;
2318      tpdi6_q_F                      :	Time := 0.540 ns;
2319      twdi0_R                        :	Time := 0.000 ns;
2320      twdi0_F                        :	Time := 0.000 ns;
2321      twdi1_R                        :	Time := 0.000 ns;
2322      twdi1_F                        :	Time := 0.000 ns;
2323      twdi2_R                        :	Time := 0.000 ns;
2324      twdi2_F                        :	Time := 0.000 ns;
2325      twdi3_R                        :	Time := 0.000 ns;
2326      twdi3_F                        :	Time := 0.000 ns;
2327      twdi4_R                        :	Time := 0.000 ns;
2328      twdi4_F                        :	Time := 0.000 ns;
2329      twdi5_R                        :	Time := 0.000 ns;
2330      twdi5_F                        :	Time := 0.000 ns;
2331      twdi6_R                        :	Time := 0.000 ns;
2332      twdi6_F                        :	Time := 0.000 ns);
2333
2334-- synopsys translate_on
2335   port(
2336      i0                             :	in    STD_LOGIC;
2337      i1                             :	in    STD_LOGIC;
2338      i2                             :	in    STD_LOGIC;
2339      i3                             :	in    STD_LOGIC;
2340      i4                             :	in    STD_LOGIC;
2341      i5                             :	in    STD_LOGIC;
2342      i6                             :	in    STD_LOGIC;
2343      q                              :	out   STD_LOGIC);
2344end component;
2345
2346
2347----- Component oa3ao322_x4 -----
2348component oa3ao322_x4
2349-- synopsys translate_off
2350   generic(
2351      Timing_mesg: Boolean := Default_Timing_mesg;
2352      Timing_xgen: Boolean := Default_Timing_xgen;
2353      tpdi0_q_R                      :	Time := 0.717 ns;
2354      tpdi0_q_F                      :	Time := 0.946 ns;
2355      tpdi1_q_R                      :	Time := 0.818 ns;
2356      tpdi1_q_F                      :	Time := 0.890 ns;
2357      tpdi2_q_R                      :	Time := 0.894 ns;
2358      tpdi2_q_F                      :	Time := 0.834 ns;
2359      tpdi3_q_R                      :	Time := 0.673 ns;
2360      tpdi3_q_F                      :	Time := 0.898 ns;
2361      tpdi4_q_R                      :	Time := 0.758 ns;
2362      tpdi4_q_F                      :	Time := 0.896 ns;
2363      tpdi5_q_R                      :	Time := 0.839 ns;
2364      tpdi5_q_F                      :	Time := 0.865 ns;
2365      tpdi6_q_R                      :	Time := 0.684 ns;
2366      tpdi6_q_F                      :	Time := 0.651 ns;
2367      twdi0_R                        :	Time := 0.000 ns;
2368      twdi0_F                        :	Time := 0.000 ns;
2369      twdi1_R                        :	Time := 0.000 ns;
2370      twdi1_F                        :	Time := 0.000 ns;
2371      twdi2_R                        :	Time := 0.000 ns;
2372      twdi2_F                        :	Time := 0.000 ns;
2373      twdi3_R                        :	Time := 0.000 ns;
2374      twdi3_F                        :	Time := 0.000 ns;
2375      twdi4_R                        :	Time := 0.000 ns;
2376      twdi4_F                        :	Time := 0.000 ns;
2377      twdi5_R                        :	Time := 0.000 ns;
2378      twdi5_F                        :	Time := 0.000 ns;
2379      twdi6_R                        :	Time := 0.000 ns;
2380      twdi6_F                        :	Time := 0.000 ns);
2381
2382-- synopsys translate_on
2383   port(
2384      i0                             :	in    STD_LOGIC;
2385      i1                             :	in    STD_LOGIC;
2386      i2                             :	in    STD_LOGIC;
2387      i3                             :	in    STD_LOGIC;
2388      i4                             :	in    STD_LOGIC;
2389      i5                             :	in    STD_LOGIC;
2390      i6                             :	in    STD_LOGIC;
2391      q                              :	out   STD_LOGIC);
2392end component;
2393
2394
2395----- Component oa22_x2 -----
2396component oa22_x2
2397-- synopsys translate_off
2398   generic(
2399      Timing_mesg: Boolean := Default_Timing_mesg;
2400      Timing_xgen: Boolean := Default_Timing_xgen;
2401      tpdi0_q_R                      :	Time := 0.390 ns;
2402      tpdi0_q_F                      :	Time := 0.555 ns;
2403      tpdi1_q_R                      :	Time := 0.488 ns;
2404      tpdi1_q_F                      :	Time := 0.525 ns;
2405      tpdi2_q_R                      :	Time := 0.438 ns;
2406      tpdi2_q_F                      :	Time := 0.454 ns;
2407      twdi0_R                        :	Time := 0.000 ns;
2408      twdi0_F                        :	Time := 0.000 ns;
2409      twdi1_R                        :	Time := 0.000 ns;
2410      twdi1_F                        :	Time := 0.000 ns;
2411      twdi2_R                        :	Time := 0.000 ns;
2412      twdi2_F                        :	Time := 0.000 ns);
2413
2414-- synopsys translate_on
2415   port(
2416      i0                             :	in    STD_LOGIC;
2417      i1                             :	in    STD_LOGIC;
2418      i2                             :	in    STD_LOGIC;
2419      q                              :	out   STD_LOGIC);
2420end component;
2421
2422
2423----- Component oa22_x4 -----
2424component oa22_x4
2425-- synopsys translate_off
2426   generic(
2427      Timing_mesg: Boolean := Default_Timing_mesg;
2428      Timing_xgen: Boolean := Default_Timing_xgen;
2429      tpdi0_q_R                      :	Time := 0.511 ns;
2430      tpdi0_q_F                      :	Time := 0.677 ns;
2431      tpdi1_q_R                      :	Time := 0.615 ns;
2432      tpdi1_q_F                      :	Time := 0.650 ns;
2433      tpdi2_q_R                      :	Time := 0.523 ns;
2434      tpdi2_q_F                      :	Time := 0.571 ns;
2435      twdi0_R                        :	Time := 0.000 ns;
2436      twdi0_F                        :	Time := 0.000 ns;
2437      twdi1_R                        :	Time := 0.000 ns;
2438      twdi1_F                        :	Time := 0.000 ns;
2439      twdi2_R                        :	Time := 0.000 ns;
2440      twdi2_F                        :	Time := 0.000 ns);
2441
2442-- synopsys translate_on
2443   port(
2444      i0                             :	in    STD_LOGIC;
2445      i1                             :	in    STD_LOGIC;
2446      i2                             :	in    STD_LOGIC;
2447      q                              :	out   STD_LOGIC);
2448end component;
2449
2450
2451----- Component on12_x1 -----
2452component on12_x1
2453-- synopsys translate_off
2454   generic(
2455      Timing_mesg: Boolean := Default_Timing_mesg;
2456      Timing_xgen: Boolean := Default_Timing_xgen;
2457      tpdi0_q_R                      :	Time := 0.111 ns;
2458      tpdi0_q_F                      :	Time := 0.234 ns;
2459      tpdi1_q_R                      :	Time := 0.314 ns;
2460      tpdi1_q_F                      :	Time := 0.291 ns;
2461      twdi0_R                        :	Time := 0.000 ns;
2462      twdi0_F                        :	Time := 0.000 ns;
2463      twdi1_R                        :	Time := 0.000 ns;
2464      twdi1_F                        :	Time := 0.000 ns);
2465
2466-- synopsys translate_on
2467   port(
2468      i0                             :	in    STD_LOGIC;
2469      i1                             :	in    STD_LOGIC;
2470      q                              :	out   STD_LOGIC);
2471end component;
2472
2473
2474----- Component on12_x4 -----
2475component on12_x4
2476-- synopsys translate_off
2477   generic(
2478      Timing_mesg: Boolean := Default_Timing_mesg;
2479      Timing_xgen: Boolean := Default_Timing_xgen;
2480      tpdi0_q_R                      :	Time := 0.474 ns;
2481      tpdi0_q_F                      :	Time := 0.499 ns;
2482      tpdi1_q_R                      :	Time := 0.491 ns;
2483      tpdi1_q_F                      :	Time := 0.394 ns;
2484      twdi0_R                        :	Time := 0.000 ns;
2485      twdi0_F                        :	Time := 0.000 ns;
2486      twdi1_R                        :	Time := 0.000 ns;
2487      twdi1_F                        :	Time := 0.000 ns);
2488
2489-- synopsys translate_on
2490   port(
2491      i0                             :	in    STD_LOGIC;
2492      i1                             :	in    STD_LOGIC;
2493      q                              :	out   STD_LOGIC);
2494end component;
2495
2496
2497----- Component one_x0 -----
2498component one_x0
2499-- synopsys translate_off
2500   generic(
2501      Timing_mesg: Boolean := Default_Timing_mesg;
2502      Timing_xgen: Boolean := Default_Timing_xgen);
2503
2504-- synopsys translate_on
2505   port(
2506      q                              :	out   STD_LOGIC := '1');
2507end component;
2508
2509
2510----- Component sff1_x4 -----
2511component sff1_x4
2512-- synopsys translate_off
2513   generic(
2514      Timing_mesg: Boolean := Default_Timing_mesg;
2515      Timing_xgen: Boolean := Default_Timing_xgen;
2516      tpdck_q_R                      :	Time := 0.500 ns;
2517      tpdck_q_F                      :	Time := 0.500 ns;
2518      tsui_ck                        :	Time := 0.585 ns;
2519      thck_i                         :	Time := 0.000 ns;
2520      twdi_R                         :	Time := 0.000 ns;
2521      twdi_F                         :	Time := 0.000 ns;
2522      twdck_R                        :	Time := 0.000 ns;
2523      twdck_F                        :	Time := 0.000 ns);
2524
2525-- synopsys translate_on
2526   port(
2527      i                              :	in    STD_LOGIC;
2528      ck                             :	in    STD_LOGIC;
2529      q                              :	out   STD_LOGIC);
2530end component;
2531
2532
2533----- Component sff2_x4 -----
2534component sff2_x4
2535-- synopsys translate_off
2536   generic(
2537      Timing_mesg: Boolean := Default_Timing_mesg;
2538      Timing_xgen: Boolean := Default_Timing_xgen;
2539      tpdck_q_R                      :	Time := 0.500 ns;
2540      tpdck_q_F                      :	Time := 0.500 ns;
2541      tsui0_ck                       :	Time := 0.764 ns;
2542      thck_i0                        :	Time := 0.000 ns;
2543      tsui1_ck                       :	Time := 0.764 ns;
2544      thck_i1                        :	Time := 0.000 ns;
2545      tsucmd_ck                      :	Time := 0.833 ns;
2546      thck_cmd                       :	Time := 0.000 ns;
2547      twdi0_R                        :	Time := 0.000 ns;
2548      twdi0_F                        :	Time := 0.000 ns;
2549      twdi1_R                        :	Time := 0.000 ns;
2550      twdi1_F                        :	Time := 0.000 ns;
2551      twdcmd_R                       :	Time := 0.000 ns;
2552      twdcmd_F                       :	Time := 0.000 ns;
2553      twdck_R                        :	Time := 0.000 ns;
2554      twdck_F                        :	Time := 0.000 ns);
2555
2556-- synopsys translate_on
2557   port(
2558      i0                             :	in    STD_LOGIC;
2559      i1                             :	in    STD_LOGIC;
2560      cmd                            :	in    STD_LOGIC;
2561      ck                             :	in    STD_LOGIC;
2562      q                              :	out   STD_LOGIC);
2563end component;
2564
2565
2566----- Component ts_x4 -----
2567component ts_x4
2568-- synopsys translate_off
2569   generic(
2570      Timing_mesg: Boolean := Default_Timing_mesg;
2571      Timing_xgen: Boolean := Default_Timing_xgen;
2572      tpdcmd_q_R                     :	Time := 0.492 ns;
2573      tpdcmd_q_F                     :	Time := 0.409 ns;
2574      tpdcmd_q_LZ                    :	Time := 0.492 ns;
2575      tpdcmd_q_HZ                    :	Time := 0.409 ns;
2576      tpdi_q_R                       :	Time := 0.475 ns;
2577      tpdi_q_F                       :	Time := 0.444 ns;
2578      twdi_R                         :	Time := 0.000 ns;
2579      twdi_F                         :	Time := 0.000 ns;
2580      twdcmd_R                       :	Time := 0.000 ns;
2581      twdcmd_F                       :	Time := 0.000 ns);
2582
2583-- synopsys translate_on
2584   port(
2585      i                              :	in    STD_LOGIC;
2586      cmd                            :	in    STD_LOGIC;
2587      q                              :	out   STD_LOGIC);
2588end component;
2589
2590
2591----- Component ts_x8 -----
2592component ts_x8
2593-- synopsys translate_off
2594   generic(
2595      Timing_mesg: Boolean := Default_Timing_mesg;
2596      Timing_xgen: Boolean := Default_Timing_xgen;
2597      tpdcmd_q_R                     :	Time := 0.626 ns;
2598      tpdcmd_q_F                     :	Time := 0.466 ns;
2599      tpdcmd_q_LZ                    :	Time := 0.626 ns;
2600      tpdcmd_q_HZ                    :	Time := 0.466 ns;
2601      tpdi_q_R                       :	Time := 0.613 ns;
2602      tpdi_q_F                       :	Time := 0.569 ns;
2603      twdi_R                         :	Time := 0.000 ns;
2604      twdi_F                         :	Time := 0.000 ns;
2605      twdcmd_R                       :	Time := 0.000 ns;
2606      twdcmd_F                       :	Time := 0.000 ns);
2607
2608-- synopsys translate_on
2609   port(
2610      i                              :	in    STD_LOGIC;
2611      cmd                            :	in    STD_LOGIC;
2612      q                              :	out   STD_LOGIC);
2613end component;
2614
2615
2616----- Component xr2_x1 -----
2617component xr2_x1
2618-- synopsys translate_off
2619   generic(
2620      Timing_mesg: Boolean := Default_Timing_mesg;
2621      Timing_xgen: Boolean := Default_Timing_xgen;
2622      tpdi0_q_R                      :	Time := 0.292 ns;
2623      tpdi0_q_F                      :	Time := 0.293 ns;
2624      tpdi1_q_R                      :	Time := 0.377 ns;
2625      tpdi1_q_F                      :	Time := 0.261 ns;
2626      twdi0_R                        :	Time := 0.000 ns;
2627      twdi0_F                        :	Time := 0.000 ns;
2628      twdi1_R                        :	Time := 0.000 ns;
2629      twdi1_F                        :	Time := 0.000 ns);
2630
2631-- synopsys translate_on
2632   port(
2633      i0                             :	in    STD_LOGIC;
2634      i1                             :	in    STD_LOGIC;
2635      q                              :	out   STD_LOGIC);
2636end component;
2637
2638
2639----- Component xr2_x4 -----
2640component xr2_x4
2641-- synopsys translate_off
2642   generic(
2643      Timing_mesg: Boolean := Default_Timing_mesg;
2644      Timing_xgen: Boolean := Default_Timing_xgen;
2645      tpdi0_q_R                      :	Time := 0.521 ns;
2646      tpdi0_q_F                      :	Time := 0.560 ns;
2647      tpdi1_q_R                      :	Time := 0.541 ns;
2648      tpdi1_q_F                      :	Time := 0.657 ns;
2649      twdi0_R                        :	Time := 0.000 ns;
2650      twdi0_F                        :	Time := 0.000 ns;
2651      twdi1_R                        :	Time := 0.000 ns;
2652      twdi1_F                        :	Time := 0.000 ns);
2653
2654-- synopsys translate_on
2655   port(
2656      i0                             :	in    STD_LOGIC;
2657      i1                             :	in    STD_LOGIC;
2658      q                              :	out   STD_LOGIC);
2659end component;
2660
2661
2662----- Component zero_x0 -----
2663component zero_x0
2664-- synopsys translate_off
2665   generic(
2666      Timing_mesg: Boolean := Default_Timing_mesg;
2667      Timing_xgen: Boolean := Default_Timing_xgen);
2668
2669-- synopsys translate_on
2670   port(
2671      nq                             :	out   STD_LOGIC := '0');
2672end component;
2673
2674
2675end COMPONENTS;
2676
2677---- end of components library ----
2678