1// A slightly more involved version of array_3.v. This one uses
2// non-constant expressions for bit-slicing.
3
4reg s = 0;
5reg[7:0] idx = 0;
6reg[7:0] r[1:0];
7
8always @(posedge clock.val) begin
9  if (r[0] || r[1]) begin
10    $write("%d%d", r[0], r[1]);
11    $finish;
12  end
13
14  idx <= idx + 1;
15  s <= s + 1;
16
17  r[idx][s+:4] <= r[idx][s+:4] + 4'hf;
18  r[idx][(s+4)+:4] <= r[idx][(s+4)+:4] + 4'hf;
19
20end
21