1reg[1:0] pad = 0;
2reg[1:0] led = 0;
3
4module foo(x,y);
5  input wire[1:0] x;
6  output wire[1:0] y;
7  assign y = x;
8endmodule
9
10foo f(2*pad, led);
11
12always @(posedge led) begin
13  $write(led);
14  $finish;
15end
16
17initial begin
18  pad = 1;
19end
20