1module foo();
2  parameter x = 0;
3  initial begin
4    $write(x);
5    $finish;
6  end
7endmodule
8
9foo#(.x(1)) f();
10