1localparam x = 8;
2localparam y = 0;
3
4initial begin
5  $write(y <= x);
6  $write(x <= x-1);
7  $finish;
8end
9
10