1reg[3:0] x;
2reg[3:0] COUNT = 0;
3
4always @(posedge clock.val) begin
5  if (x == 0) begin
6    x <= 1;
7  end else begin
8    x[3] <= x[2];
9    x[2] <= x[1];
10    x[1] <= x[0];
11    x[0] <= x[3];
12  end
13
14  $write("%d ", x);
15  COUNT <= COUNT + 1;
16  if (COUNT == 4)
17    $finish;
18end
19