1 #include <freehdl/kernel.h>
2 #include <freehdl/std.h>
3 
4 
5 
6 /* package :ieee:std_logic_arith */
7 /* External declarations */
8 /* Definitions for enumeration type :IEEE:std_logic_1164:std_ulogic */
9 class L4ieee_Q14std_logic_1164_I10std_ulogic:public enum_info_base{
10    static const char *values[];
11 public:
L4ieee_Q14std_logic_1164_I10std_ulogic()12    L4ieee_Q14std_logic_1164_I10std_ulogic():enum_info_base(0,8,values) {};
get_values()13    static const char **get_values() { return values; }
low()14    static int low() { return 0; }
high()15    static int high() { return 8; }
left()16    static int left() { return 0; }
right()17    static int right() { return 8; }
18 };
19 extern L4ieee_Q14std_logic_1164_I10std_ulogic L4ieee_Q14std_logic_1164_I10std_ulogic_INFO;
20 /** Enumeration info class :IEEE:std_logic_1164:std_logic */
21 class L4ieee_Q14std_logic_1164_I9std_logic:public enum_info_base{
22    static const char **values;
23 public:
L4ieee_Q14std_logic_1164_I9std_logic()24    L4ieee_Q14std_logic_1164_I9std_logic():enum_info_base(0,8,values) {};
get_values()25    static const char **get_values() { return values; }
low()26    static int low() { return 0; }
high()27    static int high() { return 8; }
left()28    static int left() { return 0; }
right()29    static int right() { return 8; }
30 };
31 extern L4ieee_Q14std_logic_1164_I9std_logic L4ieee_Q14std_logic_1164_I9std_logic_INFO;
32 extern array_info L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO;
33 #define L4ieee_Q14std_logic_1164_T16std_logic_vector array_type<enumeration >
34 #define L4ieee_Q14std_logic_1164_I16std_logic_vector array_info
35 
36 /* End of external declarations */
37 extern array_info L4ieee_Q15std_logic_arith_I8unsigned_INFO;
38 #define L4ieee_Q15std_logic_arith_T8unsigned array_type<enumeration >
39 #define L4ieee_Q15std_logic_arith_I8unsigned array_info
40 
41 extern array_info L4ieee_Q15std_logic_arith_I6signed_INFO;
42 #define L4ieee_Q15std_logic_arith_T6signed array_type<enumeration >
43 #define L4ieee_Q15std_logic_arith_I6signed array_info
44 
45 /** Integer info class :ieee:std_logic_arith:small_int */
46 class L4ieee_Q15std_logic_arith_I9small_int:public integer_info_base{
47 public:
L4ieee_Q15std_logic_arith_I9small_int()48    L4ieee_Q15std_logic_arith_I9small_int():integer_info_base(0,1,0,1) {};
low()49    static integer low() { return 0; }
high()50    static integer high() { return 1; }
left()51    static integer left() { return 0; }
right()52    static integer right() { return 1; }
53 };
54 extern L4ieee_Q15std_logic_arith_I9small_int L4ieee_Q15std_logic_arith_I9small_int_INFO;
55 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
56 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i31(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
57 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
58 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i34(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
59 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
60 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i37(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
61 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
62 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i40(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
63 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
64 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i43(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
65 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
66 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i46(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
67 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
68 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i49(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
69 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
70 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i52(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
71 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
72 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i55(const L4ieee_Q15std_logic_arith_T8unsigned &,const enumeration );
73 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
74 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i58(const enumeration ,const L4ieee_Q15std_logic_arith_T8unsigned &);
75 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
76 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i61(const L4ieee_Q15std_logic_arith_T6signed &,const enumeration );
77 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
78 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i64(const enumeration ,const L4ieee_Q15std_logic_arith_T6signed &);
79 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
80 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i67(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
81 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
82 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i70(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
83 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
84 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i73(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
85 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
86 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i76(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
87 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
88 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i79(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
89 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
90 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i82(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
91 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
92 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i85(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
93 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
94 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i88(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
95 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
96 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i91(const L4ieee_Q15std_logic_arith_T8unsigned &,const enumeration );
97 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
98 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i94(const enumeration ,const L4ieee_Q15std_logic_arith_T8unsigned &);
99 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
100 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i97(const L4ieee_Q15std_logic_arith_T6signed &,const enumeration );
101 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
102 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i100(const enumeration ,const L4ieee_Q15std_logic_arith_T6signed &);
103 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
104 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y8op_minus_i103(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
105 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
106 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i106(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
107 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
108 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i109(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
109 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
110 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i112(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
111 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
112 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y8op_minus_i115(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
113 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
114 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y8op_minus_i118(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
115 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
116 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i121(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
117 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
118 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i124(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
119 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
120 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y8op_minus_i127(const L4ieee_Q15std_logic_arith_T8unsigned &,const enumeration );
121 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
122 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y8op_minus_i130(const enumeration ,const L4ieee_Q15std_logic_arith_T8unsigned &);
123 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
124 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i133(const L4ieee_Q15std_logic_arith_T6signed &,const enumeration );
125 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
126 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i136(const enumeration ,const L4ieee_Q15std_logic_arith_T6signed &);
127 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
128 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i139(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
129 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
130 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i142(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
131 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
132 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i145(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
133 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
134 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i148(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
135 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
136 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i151(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
137 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
138 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i154(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
139 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
140 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i157(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
141 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
142 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i160(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
143 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
144 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i163(const L4ieee_Q15std_logic_arith_T8unsigned &,const enumeration );
145 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
146 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i166(const enumeration ,const L4ieee_Q15std_logic_arith_T8unsigned &);
147 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
148 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i169(const L4ieee_Q15std_logic_arith_T6signed &,const enumeration );
149 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
150 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i172(const enumeration ,const L4ieee_Q15std_logic_arith_T6signed &);
151 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
152 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i174(const L4ieee_Q15std_logic_arith_T8unsigned &);
153 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
154 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i176(const L4ieee_Q15std_logic_arith_T6signed &);
155 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
156 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i178(const L4ieee_Q15std_logic_arith_T6signed &);
157 /* Prototype for subprogram :ieee:std_logic_arith:"abs" */
158 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y0_i180(const L4ieee_Q15std_logic_arith_T6signed &);
159 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
160 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i182(const L4ieee_Q15std_logic_arith_T8unsigned &);
161 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
162 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i184(const L4ieee_Q15std_logic_arith_T6signed &);
163 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
164 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i186(const L4ieee_Q15std_logic_arith_T6signed &);
165 /* Prototype for subprogram :ieee:std_logic_arith:"abs" */
166 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y0_i188(const L4ieee_Q15std_logic_arith_T6signed &);
167 /* Prototype for subprogram :ieee:std_logic_arith:"*" */
168 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_mult_i191(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
169 /* Prototype for subprogram :ieee:std_logic_arith:"*" */
170 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_mult_i194(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
171 /* Prototype for subprogram :ieee:std_logic_arith:"*" */
172 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_mult_i197(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
173 /* Prototype for subprogram :ieee:std_logic_arith:"*" */
174 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_mult_i200(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
175 /* Prototype for subprogram :ieee:std_logic_arith:"*" */
176 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_mult_i203(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
177 /* Prototype for subprogram :ieee:std_logic_arith:"*" */
178 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_mult_i206(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
179 /* Prototype for subprogram :ieee:std_logic_arith:"*" */
180 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_mult_i209(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
181 /* Prototype for subprogram :ieee:std_logic_arith:"*" */
182 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_mult_i212(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
183 /* Prototype for subprogram :ieee:std_logic_arith:"<" */
184 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i215(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
185 /* Prototype for subprogram :ieee:std_logic_arith:"<" */
186 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i218(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
187 /* Prototype for subprogram :ieee:std_logic_arith:"<" */
188 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i221(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
189 /* Prototype for subprogram :ieee:std_logic_arith:"<" */
190 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i224(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
191 /* Prototype for subprogram :ieee:std_logic_arith:"<" */
192 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i227(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
193 /* Prototype for subprogram :ieee:std_logic_arith:"<" */
194 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i230(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
195 /* Prototype for subprogram :ieee:std_logic_arith:"<" */
196 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i233(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
197 /* Prototype for subprogram :ieee:std_logic_arith:"<" */
198 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i236(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
199 /* Prototype for subprogram :ieee:std_logic_arith:"<=" */
200 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i239(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
201 /* Prototype for subprogram :ieee:std_logic_arith:"<=" */
202 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i242(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
203 /* Prototype for subprogram :ieee:std_logic_arith:"<=" */
204 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i245(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
205 /* Prototype for subprogram :ieee:std_logic_arith:"<=" */
206 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i248(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
207 /* Prototype for subprogram :ieee:std_logic_arith:"<=" */
208 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i251(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
209 /* Prototype for subprogram :ieee:std_logic_arith:"<=" */
210 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i254(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
211 /* Prototype for subprogram :ieee:std_logic_arith:"<=" */
212 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i257(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
213 /* Prototype for subprogram :ieee:std_logic_arith:"<=" */
214 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i260(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
215 /* Prototype for subprogram :ieee:std_logic_arith:">" */
216 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i263(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
217 /* Prototype for subprogram :ieee:std_logic_arith:">" */
218 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i266(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
219 /* Prototype for subprogram :ieee:std_logic_arith:">" */
220 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i269(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
221 /* Prototype for subprogram :ieee:std_logic_arith:">" */
222 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i272(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
223 /* Prototype for subprogram :ieee:std_logic_arith:">" */
224 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i275(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
225 /* Prototype for subprogram :ieee:std_logic_arith:">" */
226 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i278(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
227 /* Prototype for subprogram :ieee:std_logic_arith:">" */
228 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i281(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
229 /* Prototype for subprogram :ieee:std_logic_arith:">" */
230 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i284(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
231 /* Prototype for subprogram :ieee:std_logic_arith:">=" */
232 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i287(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
233 /* Prototype for subprogram :ieee:std_logic_arith:">=" */
234 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i290(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
235 /* Prototype for subprogram :ieee:std_logic_arith:">=" */
236 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i293(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
237 /* Prototype for subprogram :ieee:std_logic_arith:">=" */
238 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i296(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
239 /* Prototype for subprogram :ieee:std_logic_arith:">=" */
240 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i299(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
241 /* Prototype for subprogram :ieee:std_logic_arith:">=" */
242 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i302(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
243 /* Prototype for subprogram :ieee:std_logic_arith:">=" */
244 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i305(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
245 /* Prototype for subprogram :ieee:std_logic_arith:">=" */
246 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i308(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
247 /* Prototype for subprogram :ieee:std_logic_arith:"=" */
248 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i311(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
249 /* Prototype for subprogram :ieee:std_logic_arith:"=" */
250 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i314(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
251 /* Prototype for subprogram :ieee:std_logic_arith:"=" */
252 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i317(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
253 /* Prototype for subprogram :ieee:std_logic_arith:"=" */
254 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i320(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
255 /* Prototype for subprogram :ieee:std_logic_arith:"=" */
256 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i323(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
257 /* Prototype for subprogram :ieee:std_logic_arith:"=" */
258 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i326(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
259 /* Prototype for subprogram :ieee:std_logic_arith:"=" */
260 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i329(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
261 /* Prototype for subprogram :ieee:std_logic_arith:"=" */
262 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i332(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
263 /* Prototype for subprogram :ieee:std_logic_arith:"/=" */
264 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i335(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
265 /* Prototype for subprogram :ieee:std_logic_arith:"/=" */
266 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i338(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
267 /* Prototype for subprogram :ieee:std_logic_arith:"/=" */
268 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i341(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
269 /* Prototype for subprogram :ieee:std_logic_arith:"/=" */
270 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i344(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
271 /* Prototype for subprogram :ieee:std_logic_arith:"/=" */
272 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i347(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
273 /* Prototype for subprogram :ieee:std_logic_arith:"/=" */
274 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i350(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
275 /* Prototype for subprogram :ieee:std_logic_arith:"/=" */
276 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i353(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
277 /* Prototype for subprogram :ieee:std_logic_arith:"/=" */
278 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i356(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
279 /* Prototype for subprogram :ieee:std_logic_arith:shl */
280 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y3shl_i359(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
281 /* Prototype for subprogram :ieee:std_logic_arith:shl */
282 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y3shl_i362(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
283 /* Prototype for subprogram :ieee:std_logic_arith:shr */
284 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y3shr_i365(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
285 /* Prototype for subprogram :ieee:std_logic_arith:shr */
286 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y3shr_i368(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
287 /* Prototype for subprogram :ieee:std_logic_arith:conv_integer */
288 integer L4ieee_Q15std_logic_arith_Y12conv_integer_i370(const integer );
289 /* Prototype for subprogram :ieee:std_logic_arith:conv_integer */
290 integer L4ieee_Q15std_logic_arith_Y12conv_integer_i372(const L4ieee_Q15std_logic_arith_T8unsigned &);
291 /* Prototype for subprogram :ieee:std_logic_arith:conv_integer */
292 integer L4ieee_Q15std_logic_arith_Y12conv_integer_i374(const L4ieee_Q15std_logic_arith_T6signed &);
293 /* Prototype for subprogram :ieee:std_logic_arith:conv_integer */
294 integer L4ieee_Q15std_logic_arith_Y12conv_integer_i376(const enumeration );
295 /* Prototype for subprogram :ieee:std_logic_arith:conv_unsigned */
296 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379(const integer ,const integer );
297 /* Prototype for subprogram :ieee:std_logic_arith:conv_unsigned */
298 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
299 /* Prototype for subprogram :ieee:std_logic_arith:conv_unsigned */
300 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
301 /* Prototype for subprogram :ieee:std_logic_arith:conv_unsigned */
302 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388(const enumeration ,const integer );
303 /* Prototype for subprogram :ieee:std_logic_arith:conv_signed */
304 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y11conv_signed_i391(const integer ,const integer );
305 /* Prototype for subprogram :ieee:std_logic_arith:conv_signed */
306 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y11conv_signed_i394(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
307 /* Prototype for subprogram :ieee:std_logic_arith:conv_signed */
308 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y11conv_signed_i397(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
309 /* Prototype for subprogram :ieee:std_logic_arith:conv_signed */
310 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y11conv_signed_i400(const enumeration ,const integer );
311 /* Prototype for subprogram :ieee:std_logic_arith:conv_std_logic_vector */
312 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403(const integer ,const integer );
313 /* Prototype for subprogram :ieee:std_logic_arith:conv_std_logic_vector */
314 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
315 /* Prototype for subprogram :ieee:std_logic_arith:conv_std_logic_vector */
316 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
317 /* Prototype for subprogram :ieee:std_logic_arith:conv_std_logic_vector */
318 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412(const enumeration ,const integer );
319 /* Prototype for subprogram :ieee:std_logic_arith:ext */
320 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y3ext_i415(const L4ieee_Q14std_logic_1164_T16std_logic_vector &,const integer );
321 /* Prototype for subprogram :ieee:std_logic_arith:sxt */
322 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y3sxt_i418(const L4ieee_Q14std_logic_1164_T16std_logic_vector &,const integer );
323 L4ieee_Q15std_logic_arith_I8unsigned L4ieee_Q15std_logic_arith_I8unsigned_INFO;
324 L4ieee_Q15std_logic_arith_I6signed L4ieee_Q15std_logic_arith_I6signed_INFO;
325 L4ieee_Q15std_logic_arith_I9small_int L4ieee_Q15std_logic_arith_I9small_int_INFO;
326 /* Initialization function for package :ieee:std_logic_arith */
327 int L3std_Q8standard_init ();
328 int L4ieee_Q14std_logic_1164_init ();
329 bool L4ieee_Q15std_logic_arith_init_done = false;
L4ieee_Q15std_logic_arith_init()330 int L4ieee_Q15std_logic_arith_init(){
331 if (L4ieee_Q15std_logic_arith_init_done) return 1;
332 L4ieee_Q15std_logic_arith_init_done=true;
333 L3std_Q8standard_init ();
334 L4ieee_Q14std_logic_1164_init ();
335 register_source_file("/home/stefan/cvs-local/freehdl-teaser/ieee/std_logic_arith.vhdl","std_logic_arith.vhdl");
336 name_stack iname;
337 iname.push("");
338 void *sref=register_package(":ieee",":std_logic_arith");
339 L4ieee_Q15std_logic_arith_I8unsigned_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7natural_INFO),-1).register_type(":ieee:std_logic_arith",":ieee:std_logic_arith:unsigned",":UNSIGNED",NULL);
340 L4ieee_Q15std_logic_arith_I6signed_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7natural_INFO),-1).register_type(":ieee:std_logic_arith",":ieee:std_logic_arith:signed",":SIGNED",NULL);
341 L4ieee_Q15std_logic_arith_I9small_int_INFO.register_type(":ieee:std_logic_arith",":ieee:std_logic_arith:small_int",":SMALL_INT",NULL);
342 iname.pop();
343 handle_info *h = get_handle ("ieee","std_logic_arith",NULL);
344 if (h != NULL) (*h->init_function) ();
345 return 1;
346 }
347 
348 /* end of package :ieee:std_logic_arith */
349 
350 /* package body :ieee:std_logic_arith */
351 /* External declarations */
352 /* Prototype for predefined function :IEEE:std_logic_1164:"/=" */
353 /* No header for IIR_EnumerationLiteral */
354 /** Enumeration info class :IEEE:std_logic_1164:ux01 */
355 class L4ieee_Q14std_logic_1164_I4ux01:public enum_info_base{
356    static const char **values;
357 public:
L4ieee_Q14std_logic_1164_I4ux01()358    L4ieee_Q14std_logic_1164_I4ux01():enum_info_base(0,3,values) {};
get_values()359    static const char **get_values() { return values; }
low()360    static int low() { return 0; }
high()361    static int high() { return 3; }
left()362    static int left() { return 0; }
right()363    static int right() { return 3; }
364 };
365 extern L4ieee_Q14std_logic_1164_I4ux01 L4ieee_Q14std_logic_1164_I4ux01_INFO;
366 /* Prototype for subprogram :IEEE:std_logic_1164:"and" */
367 enumeration L4ieee_Q14std_logic_1164_Y6op_and_i51(const enumeration ,const enumeration );
368 /* Prototype for subprogram :IEEE:std_logic_1164:"or" */
369 enumeration L4ieee_Q14std_logic_1164_Y5op_or_i57(const enumeration ,const enumeration );
370 extern array_info L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO;
371 #define L4ieee_Q14std_logic_1164_T17std_ulogic_vector array_type<enumeration >
372 #define L4ieee_Q14std_logic_1164_I17std_ulogic_vector array_info
373 
374 /* Prototype for subprogram :IEEE:std_logic_1164:"not" */
375 L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_Q14std_logic_1164_Y6op_not_i99(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
376 /* Prototype for subprogram :IEEE:std_logic_1164:"xor" */
377 enumeration L4ieee_Q14std_logic_1164_Y6op_xor_i63(const enumeration ,const enumeration );
378 /* Prototype for predefined function :IEEE:std_logic_1164:"=" */
379 /* No header for IIR_EnumerationLiteral */
380 /* No header for IIR_EnumerationLiteral */
381 /* No header for IIR_EnumerationLiteral */
382 /* End of external declarations */
383 /* Prototype for subprogram :ieee:std_logic_arith:max */
384 integer L4ieee_W15std_logic_arith_Y3max_i8(const integer ,const integer );
385 /* Prototype for subprogram :ieee:std_logic_arith:min */
386 integer L4ieee_W15std_logic_arith_Y3min_i12(const integer ,const integer );
387 extern array_info L4ieee_W15std_logic_arith_I8tbl_type_INFO;
388 #define L4ieee_W15std_logic_arith_T8tbl_type array_type<enumeration >
389 #define L4ieee_W15std_logic_arith_I8tbl_type array_info
390 
391 extern array_info L4ieee_W15std_logic_arith_I16tbl_mvl9_boolean_INFO;
392 #define L4ieee_W15std_logic_arith_T16tbl_mvl9_boolean array_type<enumeration >
393 #define L4ieee_W15std_logic_arith_I16tbl_mvl9_boolean array_info
394 
395 /* Prototype for subprogram :ieee:std_logic_arith:make_binary */
396 enumeration L4ieee_W15std_logic_arith_Y11make_binary_i52(const enumeration );
397 /* Prototype for subprogram :ieee:std_logic_arith:make_binary */
398 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y11make_binary_i55(const L4ieee_Q15std_logic_arith_T8unsigned &);
399 /* Prototype for subprogram :ieee:std_logic_arith:make_binary */
400 L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y11make_binary_i63(const L4ieee_Q15std_logic_arith_T8unsigned &);
401 /* Prototype for subprogram :ieee:std_logic_arith:make_binary */
402 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y11make_binary_i71(const L4ieee_Q15std_logic_arith_T6signed &);
403 /* Prototype for subprogram :ieee:std_logic_arith:make_binary */
404 L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y11make_binary_i79(const L4ieee_Q15std_logic_arith_T6signed &);
405 /* Prototype for subprogram :ieee:std_logic_arith:make_binary */
406 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_W15std_logic_arith_Y11make_binary_i87(const L4ieee_Q14std_logic_1164_T16std_logic_vector &);
407 /* Prototype for subprogram :ieee:std_logic_arith:make_binary */
408 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_W15std_logic_arith_Y11make_binary_i95(const L4ieee_Q15std_logic_arith_T8unsigned &);
409 /* Prototype for subprogram :ieee:std_logic_arith:make_binary */
410 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_W15std_logic_arith_Y11make_binary_i103(const L4ieee_Q15std_logic_arith_T6signed &);
411 /* Prototype for subprogram :ieee:std_logic_arith:left_signed_arg */
412 L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y15left_signed_arg_i112(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
413 /* Prototype for subprogram :ieee:std_logic_arith:left_unsigned_arg */
414 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y17left_unsigned_arg_i116(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
415 /* Prototype for subprogram :ieee:std_logic_arith:mult_signed_arg */
416 L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y15mult_signed_arg_i120(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
417 /* Prototype for subprogram :ieee:std_logic_arith:mult_unsigned_arg */
418 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y17mult_unsigned_arg_i124(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
419 /* Prototype for subprogram :ieee:std_logic_arith:mult */
420 L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y4mult_i128(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
421 /* Prototype for subprogram :ieee:std_logic_arith:mult */
422 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y4mult_i142(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
423 /* Prototype for subprogram :ieee:std_logic_arith:minus */
424 L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y5minus_i154(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
425 /* Prototype for subprogram :ieee:std_logic_arith:plus */
426 L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y4plus_i164(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
427 /* Prototype for subprogram :ieee:std_logic_arith:unsigned_minus */
428 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y14unsigned_minus_i174(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
429 /* Prototype for subprogram :ieee:std_logic_arith:unsigned_plus */
430 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y13unsigned_plus_i184(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
431 /* Prototype for subprogram :ieee:std_logic_arith:"*" */
432 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_mult_i194(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
433 /* Prototype for subprogram :ieee:std_logic_arith:"*" */
434 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_mult_i191(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
435 /* Prototype for subprogram :ieee:std_logic_arith:"*" */
436 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_mult_i200(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
437 /* Prototype for subprogram :ieee:std_logic_arith:"*" */
438 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_mult_i197(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
439 /* Prototype for subprogram :ieee:std_logic_arith:"*" */
440 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_mult_i206(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
441 /* Prototype for subprogram :ieee:std_logic_arith:"*" */
442 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_mult_i203(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
443 /* Prototype for subprogram :ieee:std_logic_arith:"*" */
444 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_mult_i212(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
445 /* Prototype for subprogram :ieee:std_logic_arith:"*" */
446 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_mult_i209(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
447 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
448 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i31(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
449 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
450 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i34(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
451 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
452 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i37(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
453 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
454 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i40(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
455 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
456 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i43(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
457 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
458 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i46(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
459 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
460 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i49(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
461 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
462 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i52(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
463 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
464 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i55(const L4ieee_Q15std_logic_arith_T8unsigned &,const enumeration );
465 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
466 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i58(const enumeration ,const L4ieee_Q15std_logic_arith_T8unsigned &);
467 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
468 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i61(const L4ieee_Q15std_logic_arith_T6signed &,const enumeration );
469 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
470 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i64(const enumeration ,const L4ieee_Q15std_logic_arith_T6signed &);
471 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
472 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i67(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
473 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
474 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i70(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
475 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
476 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i73(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
477 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
478 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i76(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
479 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
480 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i79(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
481 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
482 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i82(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
483 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
484 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i85(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
485 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
486 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i88(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
487 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
488 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i91(const L4ieee_Q15std_logic_arith_T8unsigned &,const enumeration );
489 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
490 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i94(const enumeration ,const L4ieee_Q15std_logic_arith_T8unsigned &);
491 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
492 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i97(const L4ieee_Q15std_logic_arith_T6signed &,const enumeration );
493 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
494 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i100(const enumeration ,const L4ieee_Q15std_logic_arith_T6signed &);
495 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
496 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y8op_minus_i103(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
497 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
498 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i106(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
499 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
500 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i109(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
501 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
502 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i112(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
503 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
504 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y8op_minus_i115(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
505 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
506 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y8op_minus_i118(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
507 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
508 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i121(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
509 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
510 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i124(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
511 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
512 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y8op_minus_i127(const L4ieee_Q15std_logic_arith_T8unsigned &,const enumeration );
513 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
514 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y8op_minus_i130(const enumeration ,const L4ieee_Q15std_logic_arith_T8unsigned &);
515 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
516 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i133(const L4ieee_Q15std_logic_arith_T6signed &,const enumeration );
517 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
518 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i136(const enumeration ,const L4ieee_Q15std_logic_arith_T6signed &);
519 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
520 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i139(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
521 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
522 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i142(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
523 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
524 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i145(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
525 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
526 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i148(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
527 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
528 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i151(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
529 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
530 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i154(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
531 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
532 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i157(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
533 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
534 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i160(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
535 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
536 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i163(const L4ieee_Q15std_logic_arith_T8unsigned &,const enumeration );
537 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
538 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i166(const enumeration ,const L4ieee_Q15std_logic_arith_T8unsigned &);
539 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
540 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i169(const L4ieee_Q15std_logic_arith_T6signed &,const enumeration );
541 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
542 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i172(const enumeration ,const L4ieee_Q15std_logic_arith_T6signed &);
543 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
544 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i174(const L4ieee_Q15std_logic_arith_T8unsigned &);
545 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
546 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i176(const L4ieee_Q15std_logic_arith_T6signed &);
547 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
548 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i178(const L4ieee_Q15std_logic_arith_T6signed &);
549 /* Prototype for subprogram :ieee:std_logic_arith:"abs" */
550 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y0_i180(const L4ieee_Q15std_logic_arith_T6signed &);
551 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
552 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i182(const L4ieee_Q15std_logic_arith_T8unsigned &);
553 /* Prototype for subprogram :ieee:std_logic_arith:"+" */
554 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i184(const L4ieee_Q15std_logic_arith_T6signed &);
555 /* Prototype for subprogram :ieee:std_logic_arith:"-" */
556 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i186(const L4ieee_Q15std_logic_arith_T6signed &);
557 /* Prototype for subprogram :ieee:std_logic_arith:"abs" */
558 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y0_i188(const L4ieee_Q15std_logic_arith_T6signed &);
559 /* Prototype for subprogram :ieee:std_logic_arith:unsigned_return_boolean */
560 enumeration L4ieee_W15std_logic_arith_Y23unsigned_return_boolean_i429(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
561 /* Prototype for subprogram :ieee:std_logic_arith:signed_return_boolean */
562 enumeration L4ieee_W15std_logic_arith_Y21signed_return_boolean_i433(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
563 /* Prototype for subprogram :ieee:std_logic_arith:is_less */
564 enumeration L4ieee_W15std_logic_arith_Y7is_less_i437(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
565 /* Prototype for subprogram :ieee:std_logic_arith:is_less_or_equal */
566 enumeration L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
567 /* Prototype for subprogram :ieee:std_logic_arith:unsigned_is_less */
568 enumeration L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
569 /* Prototype for subprogram :ieee:std_logic_arith:unsigned_is_less_or_equal */
570 enumeration L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
571 /* Prototype for subprogram :ieee:std_logic_arith:"<" */
572 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i215(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
573 /* Prototype for subprogram :ieee:std_logic_arith:"<" */
574 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i218(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
575 /* Prototype for subprogram :ieee:std_logic_arith:"<" */
576 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i221(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
577 /* Prototype for subprogram :ieee:std_logic_arith:"<" */
578 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i224(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
579 /* Prototype for subprogram :ieee:std_logic_arith:"<" */
580 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i227(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
581 /* Prototype for subprogram :ieee:std_logic_arith:"<" */
582 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i230(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
583 /* Prototype for subprogram :ieee:std_logic_arith:"<" */
584 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i233(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
585 /* Prototype for subprogram :ieee:std_logic_arith:"<" */
586 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i236(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
587 /* Prototype for subprogram :ieee:std_logic_arith:"<=" */
588 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i239(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
589 /* Prototype for subprogram :ieee:std_logic_arith:"<=" */
590 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i242(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
591 /* Prototype for subprogram :ieee:std_logic_arith:"<=" */
592 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i245(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
593 /* Prototype for subprogram :ieee:std_logic_arith:"<=" */
594 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i248(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
595 /* Prototype for subprogram :ieee:std_logic_arith:"<=" */
596 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i251(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
597 /* Prototype for subprogram :ieee:std_logic_arith:"<=" */
598 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i254(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
599 /* Prototype for subprogram :ieee:std_logic_arith:"<=" */
600 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i257(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
601 /* Prototype for subprogram :ieee:std_logic_arith:"<=" */
602 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i260(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
603 /* Prototype for subprogram :ieee:std_logic_arith:">" */
604 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i263(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
605 /* Prototype for subprogram :ieee:std_logic_arith:">" */
606 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i266(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
607 /* Prototype for subprogram :ieee:std_logic_arith:">" */
608 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i269(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
609 /* Prototype for subprogram :ieee:std_logic_arith:">" */
610 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i272(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
611 /* Prototype for subprogram :ieee:std_logic_arith:">" */
612 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i275(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
613 /* Prototype for subprogram :ieee:std_logic_arith:">" */
614 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i278(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
615 /* Prototype for subprogram :ieee:std_logic_arith:">" */
616 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i281(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
617 /* Prototype for subprogram :ieee:std_logic_arith:">" */
618 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i284(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
619 /* Prototype for subprogram :ieee:std_logic_arith:">=" */
620 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i287(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
621 /* Prototype for subprogram :ieee:std_logic_arith:">=" */
622 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i290(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
623 /* Prototype for subprogram :ieee:std_logic_arith:">=" */
624 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i293(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
625 /* Prototype for subprogram :ieee:std_logic_arith:">=" */
626 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i296(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
627 /* Prototype for subprogram :ieee:std_logic_arith:">=" */
628 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i299(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
629 /* Prototype for subprogram :ieee:std_logic_arith:">=" */
630 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i302(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
631 /* Prototype for subprogram :ieee:std_logic_arith:">=" */
632 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i305(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
633 /* Prototype for subprogram :ieee:std_logic_arith:">=" */
634 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i308(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
635 /* Prototype for subprogram :ieee:std_logic_arith:bitwise_eql */
636 enumeration L4ieee_W15std_logic_arith_Y11bitwise_eql_i601(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
637 /* Prototype for subprogram :ieee:std_logic_arith:bitwise_neq */
638 enumeration L4ieee_W15std_logic_arith_Y11bitwise_neq_i607(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &);
639 /* Prototype for subprogram :ieee:std_logic_arith:"=" */
640 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i311(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
641 /* Prototype for subprogram :ieee:std_logic_arith:"=" */
642 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i314(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
643 /* Prototype for subprogram :ieee:std_logic_arith:"=" */
644 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i317(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
645 /* Prototype for subprogram :ieee:std_logic_arith:"=" */
646 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i320(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
647 /* Prototype for subprogram :ieee:std_logic_arith:"=" */
648 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i323(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
649 /* Prototype for subprogram :ieee:std_logic_arith:"=" */
650 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i326(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
651 /* Prototype for subprogram :ieee:std_logic_arith:"=" */
652 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i329(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
653 /* Prototype for subprogram :ieee:std_logic_arith:"=" */
654 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i332(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
655 /* Prototype for subprogram :ieee:std_logic_arith:"/=" */
656 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i335(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
657 /* Prototype for subprogram :ieee:std_logic_arith:"/=" */
658 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i338(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T6signed &);
659 /* Prototype for subprogram :ieee:std_logic_arith:"/=" */
660 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i341(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T6signed &);
661 /* Prototype for subprogram :ieee:std_logic_arith:"/=" */
662 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i344(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
663 /* Prototype for subprogram :ieee:std_logic_arith:"/=" */
664 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i347(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
665 /* Prototype for subprogram :ieee:std_logic_arith:"/=" */
666 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i350(const integer ,const L4ieee_Q15std_logic_arith_T8unsigned &);
667 /* Prototype for subprogram :ieee:std_logic_arith:"/=" */
668 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i353(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
669 /* Prototype for subprogram :ieee:std_logic_arith:"/=" */
670 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i356(const integer ,const L4ieee_Q15std_logic_arith_T6signed &);
671 #define L4ieee_Q15std_logic_arith_Y3shl_i359_T5rtype array_type<enumeration >
672 #define L4ieee_Q15std_logic_arith_Y3shl_i359_I5rtype array_info
673 
674 /* Prototype for subprogram :ieee:std_logic_arith:shl */
675 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y3shl_i359(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
676 #define L4ieee_Q15std_logic_arith_Y3shl_i362_T5rtype array_type<enumeration >
677 #define L4ieee_Q15std_logic_arith_Y3shl_i362_I5rtype array_info
678 
679 /* Prototype for subprogram :ieee:std_logic_arith:shl */
680 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y3shl_i362(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
681 #define L4ieee_Q15std_logic_arith_Y3shr_i365_T5rtype array_type<enumeration >
682 #define L4ieee_Q15std_logic_arith_Y3shr_i365_I5rtype array_info
683 
684 /* Prototype for subprogram :ieee:std_logic_arith:shr */
685 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y3shr_i365(const L4ieee_Q15std_logic_arith_T8unsigned &,const L4ieee_Q15std_logic_arith_T8unsigned &);
686 #define L4ieee_Q15std_logic_arith_Y3shr_i368_T5rtype array_type<enumeration >
687 #define L4ieee_Q15std_logic_arith_Y3shr_i368_I5rtype array_info
688 
689 /* Prototype for subprogram :ieee:std_logic_arith:shr */
690 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y3shr_i368(const L4ieee_Q15std_logic_arith_T6signed &,const L4ieee_Q15std_logic_arith_T8unsigned &);
691 /* Prototype for subprogram :ieee:std_logic_arith:conv_integer */
692 integer L4ieee_Q15std_logic_arith_Y12conv_integer_i370(const integer );
693 /* Prototype for subprogram :ieee:std_logic_arith:conv_integer */
694 integer L4ieee_Q15std_logic_arith_Y12conv_integer_i372(const L4ieee_Q15std_logic_arith_T8unsigned &);
695 /* Prototype for subprogram :ieee:std_logic_arith:conv_integer */
696 integer L4ieee_Q15std_logic_arith_Y12conv_integer_i374(const L4ieee_Q15std_logic_arith_T6signed &);
697 /* Prototype for subprogram :ieee:std_logic_arith:conv_integer */
698 integer L4ieee_Q15std_logic_arith_Y12conv_integer_i376(const enumeration );
699 /* Prototype for subprogram :ieee:std_logic_arith:conv_unsigned */
700 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379(const integer ,const integer );
701 #define L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_T5rtype array_type<enumeration >
702 #define L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_I5rtype array_info
703 
704 /* Prototype for subprogram :ieee:std_logic_arith:conv_unsigned */
705 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
706 #define L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_T5rtype array_type<enumeration >
707 #define L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_I5rtype array_info
708 
709 /* Prototype for subprogram :ieee:std_logic_arith:conv_unsigned */
710 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
711 #define L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_T5rtype array_type<enumeration >
712 #define L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_I5rtype array_info
713 
714 /* Prototype for subprogram :ieee:std_logic_arith:conv_unsigned */
715 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388(const enumeration ,const integer );
716 /* Prototype for subprogram :ieee:std_logic_arith:conv_signed */
717 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y11conv_signed_i391(const integer ,const integer );
718 #define L4ieee_Q15std_logic_arith_Y11conv_signed_i394_T5rtype array_type<enumeration >
719 #define L4ieee_Q15std_logic_arith_Y11conv_signed_i394_I5rtype array_info
720 
721 /* Prototype for subprogram :ieee:std_logic_arith:conv_signed */
722 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y11conv_signed_i394(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
723 #define L4ieee_Q15std_logic_arith_Y11conv_signed_i397_T5rtype array_type<enumeration >
724 #define L4ieee_Q15std_logic_arith_Y11conv_signed_i397_I5rtype array_info
725 
726 /* Prototype for subprogram :ieee:std_logic_arith:conv_signed */
727 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y11conv_signed_i397(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
728 #define L4ieee_Q15std_logic_arith_Y11conv_signed_i400_T5rtype array_type<enumeration >
729 #define L4ieee_Q15std_logic_arith_Y11conv_signed_i400_I5rtype array_info
730 
731 /* Prototype for subprogram :ieee:std_logic_arith:conv_signed */
732 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y11conv_signed_i400(const enumeration ,const integer );
733 /* Prototype for subprogram :ieee:std_logic_arith:conv_std_logic_vector */
734 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403(const integer ,const integer );
735 #define L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_T5rtype array_type<enumeration >
736 #define L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_I5rtype array_info
737 
738 /* Prototype for subprogram :ieee:std_logic_arith:conv_std_logic_vector */
739 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406(const L4ieee_Q15std_logic_arith_T8unsigned &,const integer );
740 #define L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_T5rtype array_type<enumeration >
741 #define L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_I5rtype array_info
742 
743 /* Prototype for subprogram :ieee:std_logic_arith:conv_std_logic_vector */
744 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409(const L4ieee_Q15std_logic_arith_T6signed &,const integer );
745 #define L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_T5rtype array_type<enumeration >
746 #define L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_I5rtype array_info
747 
748 /* Prototype for subprogram :ieee:std_logic_arith:conv_std_logic_vector */
749 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412(const enumeration ,const integer );
750 #define L4ieee_Q15std_logic_arith_Y3ext_i415_T5rtype array_type<enumeration >
751 #define L4ieee_Q15std_logic_arith_Y3ext_i415_I5rtype array_info
752 
753 /* Prototype for subprogram :ieee:std_logic_arith:ext */
754 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y3ext_i415(const L4ieee_Q14std_logic_1164_T16std_logic_vector &,const integer );
755 #define L4ieee_Q15std_logic_arith_Y3sxt_i418_T5rtype array_type<enumeration >
756 #define L4ieee_Q15std_logic_arith_Y3sxt_i418_I5rtype array_info
757 
758 /* Prototype for subprogram :ieee:std_logic_arith:sxt */
759 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y3sxt_i418(const L4ieee_Q14std_logic_1164_T16std_logic_vector &,const integer );
760 L4ieee_W15std_logic_arith_T8tbl_type L4ieee_W15std_logic_arith_C10tbl_binary;
761 L4ieee_W15std_logic_arith_T16tbl_mvl9_boolean L4ieee_W15std_logic_arith_C4is_x;
762 /* Implementation of subprogram :ieee:std_logic_arith:max */
L4ieee_W15std_logic_arith_Y3max_i8(const integer L4ieee_W15std_logic_arith_Y3max_i8_C1l,const integer L4ieee_W15std_logic_arith_Y3max_i8_C1r)763 integer L4ieee_W15std_logic_arith_Y3max_i8(const integer L4ieee_W15std_logic_arith_Y3max_i8_C1l,const integer L4ieee_W15std_logic_arith_Y3max_i8_C1r)
764 {
765     integer L4ieee_W15std_logic_arith_Y3max_i8_V6result;
766     L4ieee_W15std_logic_arith_Y3max_i8_V6result=-2147483648LL;
767     if((L4ieee_W15std_logic_arith_Y3max_i8_C1l>L4ieee_W15std_logic_arith_Y3max_i8_C1r)) {
768       L4ieee_W15std_logic_arith_Y3max_i8_V6result=L4ieee_W15std_logic_arith_Y3max_i8_C1l;
769     } else {
770       L4ieee_W15std_logic_arith_Y3max_i8_V6result=L4ieee_W15std_logic_arith_Y3max_i8_C1r;
771     }
772     return L4ieee_W15std_logic_arith_Y3max_i8_V6result;
773 }
774 /* Implementation of subprogram :ieee:std_logic_arith:min */
L4ieee_W15std_logic_arith_Y3min_i12(const integer L4ieee_W15std_logic_arith_Y3min_i12_C1l,const integer L4ieee_W15std_logic_arith_Y3min_i12_C1r)775 integer L4ieee_W15std_logic_arith_Y3min_i12(const integer L4ieee_W15std_logic_arith_Y3min_i12_C1l,const integer L4ieee_W15std_logic_arith_Y3min_i12_C1r)
776 {
777     integer L4ieee_W15std_logic_arith_Y3min_i12_V6result;
778     L4ieee_W15std_logic_arith_Y3min_i12_V6result=-2147483648LL;
779     if((L4ieee_W15std_logic_arith_Y3min_i12_C1l<L4ieee_W15std_logic_arith_Y3min_i12_C1r)) {
780       L4ieee_W15std_logic_arith_Y3min_i12_V6result=L4ieee_W15std_logic_arith_Y3min_i12_C1l;
781     } else {
782       L4ieee_W15std_logic_arith_Y3min_i12_V6result=L4ieee_W15std_logic_arith_Y3min_i12_C1r;
783     }
784     return L4ieee_W15std_logic_arith_Y3min_i12_V6result;
785 }
786 L4ieee_W15std_logic_arith_I8tbl_type L4ieee_W15std_logic_arith_I8tbl_type_INFO;
787 L4ieee_W15std_logic_arith_I16tbl_mvl9_boolean L4ieee_W15std_logic_arith_I16tbl_mvl9_boolean_INFO;
788 enumeration L4ieee_W15std_logic_arith_itn73_lit[]={84,104,101,114,101,32,105,115,32,97,110,32,39,85,39,124,39,88,39,124,39,87,39,124,39,90,39,124,39,45,39,32,105,110,32,97,110,32,97,114,105,116,104,109,101,116,105,99,32,111,112,101,114,97,110,100,44,32,116,104,101,32,114,101,115,117,108,116,32,119,105,108,108,32,98,101,32,39,88,39,40,101,115,41,46};
789 /* Implementation of subprogram :ieee:std_logic_arith:make_binary */
L4ieee_W15std_logic_arith_Y11make_binary_i52(const enumeration L4ieee_W15std_logic_arith_Y11make_binary_i52_C1a)790 enumeration L4ieee_W15std_logic_arith_Y11make_binary_i52(const enumeration L4ieee_W15std_logic_arith_Y11make_binary_i52_C1a)
791 {
792     enumeration L4ieee_W15std_logic_arith_Y11make_binary_i52_V6result;
793     L4ieee_W15std_logic_arith_Y11make_binary_i52_V6result=enumeration(0);
794     if(L4ieee_W15std_logic_arith_C4is_x[L4ieee_W15std_logic_arith_Y11make_binary_i52_C1a]) {
795       if(!enumeration(0))
796         report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+84,0),L4ieee_W15std_logic_arith_itn73_lit),enumeration(1));
797       L4ieee_W15std_logic_arith_Y11make_binary_i52_V6result=enumeration(1);
798     } else {
799       L4ieee_W15std_logic_arith_Y11make_binary_i52_V6result=L4ieee_W15std_logic_arith_C10tbl_binary[L4ieee_W15std_logic_arith_Y11make_binary_i52_C1a];
800     }
801     return L4ieee_W15std_logic_arith_Y11make_binary_i52_V6result;
802 }
803 enumeration L4ieee_W15std_logic_arith_itn74_lit[]={84,104,101,114,101,32,105,115,32,97,110,32,39,85,39,124,39,88,39,124,39,87,39,124,39,90,39,124,39,45,39,32,105,110,32,97,110,32,97,114,105,116,104,109,101,116,105,99,32,111,112,101,114,97,110,100,44,32,116,104,101,32,114,101,115,117,108,116,32,119,105,108,108,32,98,101,32,39,88,39,40,101,115,41,46};
804 /* Implementation of subprogram :ieee:std_logic_arith:make_binary */
L4ieee_W15std_logic_arith_Y11make_binary_i55(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y11make_binary_i55_C1a)805 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y11make_binary_i55(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y11make_binary_i55_C1a)
806 {
807     enumeration L4ieee_W15std_logic_arith_Y11make_binary_i55_V7one_bit;
808     L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y11make_binary_i55_V6result;
809     integer L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_C1i,L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_C1i_lc,L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_C1i_ls,L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_L460ln_C1j,L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_L460ln_C1j_lc,L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_L460ln_C1j_ls;
810     L4ieee_W15std_logic_arith_Y11make_binary_i55_V7one_bit=enumeration(0);
811     L4ieee_W15std_logic_arith_Y11make_binary_i55_V6result.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,L4ieee_W15std_logic_arith_Y11make_binary_i55_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i55_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i55_C1a.info->right_bound,0)),enumeration(0));
812     for (L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_C1i=L4ieee_W15std_logic_arith_Y11make_binary_i55_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_C1i_ls=L4ieee_W15std_logic_arith_Y11make_binary_i55_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_C1i_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y11make_binary_i55_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i55_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i55_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_C1i+=L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_C1i_ls,L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_C1i_lc--) {
813       if(L4ieee_W15std_logic_arith_C4is_x[L4ieee_W15std_logic_arith_Y11make_binary_i55_C1a[L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_C1i]]) {
814         if(!enumeration(0))
815           report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+84,0),L4ieee_W15std_logic_arith_itn74_lit),enumeration(1));
816         for (L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_L460ln_C1j=L4ieee_W15std_logic_arith_Y11make_binary_i55_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_L460ln_C1j_ls=L4ieee_W15std_logic_arith_Y11make_binary_i55_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_L460ln_C1j_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y11make_binary_i55_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i55_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i55_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_L460ln_C1j_lc!=0; L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_L460ln_C1j+=L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_L460ln_C1j_ls,L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_L460ln_C1j_lc--) {
817           L4ieee_W15std_logic_arith_Y11make_binary_i55_V6result[L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_L460ln_C1j]=enumeration(1);
818         }
819       } else {
820         L4ieee_W15std_logic_arith_Y11make_binary_i55_V6result[L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_C1i]=L4ieee_W15std_logic_arith_C10tbl_binary[L4ieee_W15std_logic_arith_Y11make_binary_i55_C1a[L4ieee_W15std_logic_arith_Y11make_binary_i55_L458ln_C1i]];
821       }
822     }
823     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_W15std_logic_arith_Y11make_binary_i55_V6result);
824 }
825 enumeration L4ieee_W15std_logic_arith_itn75_lit[]={84,104,101,114,101,32,105,115,32,97,110,32,39,85,39,124,39,88,39,124,39,87,39,124,39,90,39,124,39,45,39,32,105,110,32,97,110,32,97,114,105,116,104,109,101,116,105,99,32,111,112,101,114,97,110,100,44,32,116,104,101,32,114,101,115,117,108,116,32,119,105,108,108,32,98,101,32,39,88,39,40,101,115,41,46};
826 /* Implementation of subprogram :ieee:std_logic_arith:make_binary */
L4ieee_W15std_logic_arith_Y11make_binary_i63(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y11make_binary_i63_C1a)827 L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y11make_binary_i63(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y11make_binary_i63_C1a)
828 {
829     enumeration L4ieee_W15std_logic_arith_Y11make_binary_i63_V7one_bit;
830     L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y11make_binary_i63_V6result;
831     integer L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_C1i,L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_C1i_lc,L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_C1i_ls,L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_L468ln_C1j,L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_L468ln_C1j_lc,L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_L468ln_C1j_ls;
832     L4ieee_W15std_logic_arith_Y11make_binary_i63_V7one_bit=enumeration(0);
833     L4ieee_W15std_logic_arith_Y11make_binary_i63_V6result.init((new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,L4ieee_W15std_logic_arith_Y11make_binary_i63_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i63_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i63_C1a.info->right_bound,0)),enumeration(0));
834     for (L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_C1i=L4ieee_W15std_logic_arith_Y11make_binary_i63_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_C1i_ls=L4ieee_W15std_logic_arith_Y11make_binary_i63_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_C1i_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y11make_binary_i63_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i63_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i63_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_C1i+=L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_C1i_ls,L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_C1i_lc--) {
835       if(L4ieee_W15std_logic_arith_C4is_x[L4ieee_W15std_logic_arith_Y11make_binary_i63_C1a[L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_C1i]]) {
836         if(!enumeration(0))
837           report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+84,0),L4ieee_W15std_logic_arith_itn75_lit),enumeration(1));
838         for (L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_L468ln_C1j=L4ieee_W15std_logic_arith_Y11make_binary_i63_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_L468ln_C1j_ls=L4ieee_W15std_logic_arith_Y11make_binary_i63_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_L468ln_C1j_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y11make_binary_i63_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i63_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i63_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_L468ln_C1j_lc!=0; L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_L468ln_C1j+=L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_L468ln_C1j_ls,L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_L468ln_C1j_lc--) {
839           L4ieee_W15std_logic_arith_Y11make_binary_i63_V6result[L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_L468ln_C1j]=enumeration(1);
840         }
841       } else {
842         L4ieee_W15std_logic_arith_Y11make_binary_i63_V6result[L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_C1i]=L4ieee_W15std_logic_arith_C10tbl_binary[L4ieee_W15std_logic_arith_Y11make_binary_i63_C1a[L4ieee_W15std_logic_arith_Y11make_binary_i63_L466ln_C1i]];
843       }
844     }
845     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y11make_binary_i63_V6result);
846 }
847 enumeration L4ieee_W15std_logic_arith_itn76_lit[]={84,104,101,114,101,32,105,115,32,97,110,32,39,85,39,124,39,88,39,124,39,87,39,124,39,90,39,124,39,45,39,32,105,110,32,97,110,32,97,114,105,116,104,109,101,116,105,99,32,111,112,101,114,97,110,100,44,32,116,104,101,32,114,101,115,117,108,116,32,119,105,108,108,32,98,101,32,39,88,39,40,101,115,41,46};
848 /* Implementation of subprogram :ieee:std_logic_arith:make_binary */
L4ieee_W15std_logic_arith_Y11make_binary_i71(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y11make_binary_i71_C1a)849 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y11make_binary_i71(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y11make_binary_i71_C1a)
850 {
851     enumeration L4ieee_W15std_logic_arith_Y11make_binary_i71_V7one_bit;
852     L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y11make_binary_i71_V6result;
853     integer L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_C1i,L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_C1i_lc,L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_C1i_ls,L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_L476ln_C1j,L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_L476ln_C1j_lc,L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_L476ln_C1j_ls;
854     L4ieee_W15std_logic_arith_Y11make_binary_i71_V7one_bit=enumeration(0);
855     L4ieee_W15std_logic_arith_Y11make_binary_i71_V6result.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,L4ieee_W15std_logic_arith_Y11make_binary_i71_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i71_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i71_C1a.info->right_bound,0)),enumeration(0));
856     for (L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_C1i=L4ieee_W15std_logic_arith_Y11make_binary_i71_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_C1i_ls=L4ieee_W15std_logic_arith_Y11make_binary_i71_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_C1i_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y11make_binary_i71_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i71_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i71_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_C1i+=L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_C1i_ls,L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_C1i_lc--) {
857       if(L4ieee_W15std_logic_arith_C4is_x[L4ieee_W15std_logic_arith_Y11make_binary_i71_C1a[L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_C1i]]) {
858         if(!enumeration(0))
859           report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+84,0),L4ieee_W15std_logic_arith_itn76_lit),enumeration(1));
860         for (L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_L476ln_C1j=L4ieee_W15std_logic_arith_Y11make_binary_i71_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_L476ln_C1j_ls=L4ieee_W15std_logic_arith_Y11make_binary_i71_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_L476ln_C1j_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y11make_binary_i71_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i71_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i71_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_L476ln_C1j_lc!=0; L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_L476ln_C1j+=L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_L476ln_C1j_ls,L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_L476ln_C1j_lc--) {
861           L4ieee_W15std_logic_arith_Y11make_binary_i71_V6result[L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_L476ln_C1j]=enumeration(1);
862         }
863       } else {
864         L4ieee_W15std_logic_arith_Y11make_binary_i71_V6result[L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_C1i]=L4ieee_W15std_logic_arith_C10tbl_binary[L4ieee_W15std_logic_arith_Y11make_binary_i71_C1a[L4ieee_W15std_logic_arith_Y11make_binary_i71_L474ln_C1i]];
865       }
866     }
867     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_W15std_logic_arith_Y11make_binary_i71_V6result);
868 }
869 enumeration L4ieee_W15std_logic_arith_itn77_lit[]={84,104,101,114,101,32,105,115,32,97,110,32,39,85,39,124,39,88,39,124,39,87,39,124,39,90,39,124,39,45,39,32,105,110,32,97,110,32,97,114,105,116,104,109,101,116,105,99,32,111,112,101,114,97,110,100,44,32,116,104,101,32,114,101,115,117,108,116,32,119,105,108,108,32,98,101,32,39,88,39,40,101,115,41,46};
870 /* Implementation of subprogram :ieee:std_logic_arith:make_binary */
L4ieee_W15std_logic_arith_Y11make_binary_i79(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y11make_binary_i79_C1a)871 L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y11make_binary_i79(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y11make_binary_i79_C1a)
872 {
873     enumeration L4ieee_W15std_logic_arith_Y11make_binary_i79_V7one_bit;
874     L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y11make_binary_i79_V6result;
875     integer L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_C1i,L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_C1i_lc,L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_C1i_ls,L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_L484ln_C1j,L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_L484ln_C1j_lc,L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_L484ln_C1j_ls;
876     L4ieee_W15std_logic_arith_Y11make_binary_i79_V7one_bit=enumeration(0);
877     L4ieee_W15std_logic_arith_Y11make_binary_i79_V6result.init((new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,L4ieee_W15std_logic_arith_Y11make_binary_i79_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i79_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i79_C1a.info->right_bound,0)),enumeration(0));
878     for (L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_C1i=L4ieee_W15std_logic_arith_Y11make_binary_i79_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_C1i_ls=L4ieee_W15std_logic_arith_Y11make_binary_i79_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_C1i_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y11make_binary_i79_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i79_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i79_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_C1i+=L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_C1i_ls,L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_C1i_lc--) {
879       if(L4ieee_W15std_logic_arith_C4is_x[L4ieee_W15std_logic_arith_Y11make_binary_i79_C1a[L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_C1i]]) {
880         if(!enumeration(0))
881           report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+84,0),L4ieee_W15std_logic_arith_itn77_lit),enumeration(1));
882         for (L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_L484ln_C1j=L4ieee_W15std_logic_arith_Y11make_binary_i79_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_L484ln_C1j_ls=L4ieee_W15std_logic_arith_Y11make_binary_i79_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_L484ln_C1j_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y11make_binary_i79_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i79_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i79_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_L484ln_C1j_lc!=0; L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_L484ln_C1j+=L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_L484ln_C1j_ls,L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_L484ln_C1j_lc--) {
883           L4ieee_W15std_logic_arith_Y11make_binary_i79_V6result[L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_L484ln_C1j]=enumeration(1);
884         }
885       } else {
886         L4ieee_W15std_logic_arith_Y11make_binary_i79_V6result[L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_C1i]=L4ieee_W15std_logic_arith_C10tbl_binary[L4ieee_W15std_logic_arith_Y11make_binary_i79_C1a[L4ieee_W15std_logic_arith_Y11make_binary_i79_L482ln_C1i]];
887       }
888     }
889     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y11make_binary_i79_V6result);
890 }
891 enumeration L4ieee_W15std_logic_arith_itn78_lit[]={84,104,101,114,101,32,105,115,32,97,110,32,39,85,39,124,39,88,39,124,39,87,39,124,39,90,39,124,39,45,39,32,105,110,32,97,110,32,97,114,105,116,104,109,101,116,105,99,32,111,112,101,114,97,110,100,44,32,116,104,101,32,114,101,115,117,108,116,32,119,105,108,108,32,98,101,32,39,88,39,40,101,115,41,46};
892 /* Implementation of subprogram :ieee:std_logic_arith:make_binary */
L4ieee_W15std_logic_arith_Y11make_binary_i87(const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_W15std_logic_arith_Y11make_binary_i87_C1a)893 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_W15std_logic_arith_Y11make_binary_i87(const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_W15std_logic_arith_Y11make_binary_i87_C1a)
894 {
895     enumeration L4ieee_W15std_logic_arith_Y11make_binary_i87_V7one_bit;
896     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_W15std_logic_arith_Y11make_binary_i87_V6result;
897     integer L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_C1i,L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_C1i_lc,L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_C1i_ls,L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_L492ln_C1j,L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_L492ln_C1j_lc,L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_L492ln_C1j_ls;
898     L4ieee_W15std_logic_arith_Y11make_binary_i87_V7one_bit=enumeration(0);
899     L4ieee_W15std_logic_arith_Y11make_binary_i87_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,L4ieee_W15std_logic_arith_Y11make_binary_i87_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i87_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i87_C1a.info->right_bound,0)),enumeration(0));
900     for (L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_C1i=L4ieee_W15std_logic_arith_Y11make_binary_i87_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_C1i_ls=L4ieee_W15std_logic_arith_Y11make_binary_i87_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_C1i_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y11make_binary_i87_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i87_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i87_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_C1i+=L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_C1i_ls,L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_C1i_lc--) {
901       if(L4ieee_W15std_logic_arith_C4is_x[L4ieee_W15std_logic_arith_Y11make_binary_i87_C1a[L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_C1i]]) {
902         if(!enumeration(0))
903           report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+84,0),L4ieee_W15std_logic_arith_itn78_lit),enumeration(1));
904         for (L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_L492ln_C1j=L4ieee_W15std_logic_arith_Y11make_binary_i87_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_L492ln_C1j_ls=L4ieee_W15std_logic_arith_Y11make_binary_i87_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_L492ln_C1j_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y11make_binary_i87_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i87_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i87_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_L492ln_C1j_lc!=0; L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_L492ln_C1j+=L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_L492ln_C1j_ls,L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_L492ln_C1j_lc--) {
905           L4ieee_W15std_logic_arith_Y11make_binary_i87_V6result[L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_L492ln_C1j]=enumeration(1);
906         }
907       } else {
908         L4ieee_W15std_logic_arith_Y11make_binary_i87_V6result[L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_C1i]=L4ieee_W15std_logic_arith_C10tbl_binary[L4ieee_W15std_logic_arith_Y11make_binary_i87_C1a[L4ieee_W15std_logic_arith_Y11make_binary_i87_L490ln_C1i]];
909       }
910     }
911     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y11make_binary_i87_V6result);
912 }
913 enumeration L4ieee_W15std_logic_arith_itn79_lit[]={84,104,101,114,101,32,105,115,32,97,110,32,39,85,39,124,39,88,39,124,39,87,39,124,39,90,39,124,39,45,39,32,105,110,32,97,110,32,97,114,105,116,104,109,101,116,105,99,32,111,112,101,114,97,110,100,44,32,116,104,101,32,114,101,115,117,108,116,32,119,105,108,108,32,98,101,32,39,88,39,40,101,115,41,46};
914 /* Implementation of subprogram :ieee:std_logic_arith:make_binary */
L4ieee_W15std_logic_arith_Y11make_binary_i95(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y11make_binary_i95_C1a)915 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_W15std_logic_arith_Y11make_binary_i95(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y11make_binary_i95_C1a)
916 {
917     enumeration L4ieee_W15std_logic_arith_Y11make_binary_i95_V7one_bit;
918     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_W15std_logic_arith_Y11make_binary_i95_V6result;
919     integer L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_C1i,L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_C1i_lc,L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_C1i_ls,L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_L5100ln_C1j,L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_L5100ln_C1j_lc,L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_L5100ln_C1j_ls;
920     L4ieee_W15std_logic_arith_Y11make_binary_i95_V7one_bit=enumeration(0);
921     L4ieee_W15std_logic_arith_Y11make_binary_i95_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,L4ieee_W15std_logic_arith_Y11make_binary_i95_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i95_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i95_C1a.info->right_bound,0)),enumeration(0));
922     for (L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_C1i=L4ieee_W15std_logic_arith_Y11make_binary_i95_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_C1i_ls=L4ieee_W15std_logic_arith_Y11make_binary_i95_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_C1i_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y11make_binary_i95_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i95_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i95_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_C1i+=L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_C1i_ls,L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_C1i_lc--) {
923       if(L4ieee_W15std_logic_arith_C4is_x[L4ieee_W15std_logic_arith_Y11make_binary_i95_C1a[L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_C1i]]) {
924         if(!enumeration(0))
925           report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+84,0),L4ieee_W15std_logic_arith_itn79_lit),enumeration(1));
926         for (L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_L5100ln_C1j=L4ieee_W15std_logic_arith_Y11make_binary_i95_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_L5100ln_C1j_ls=L4ieee_W15std_logic_arith_Y11make_binary_i95_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_L5100ln_C1j_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y11make_binary_i95_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i95_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i95_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_L5100ln_C1j_lc!=0; L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_L5100ln_C1j+=L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_L5100ln_C1j_ls,L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_L5100ln_C1j_lc--) {
927           L4ieee_W15std_logic_arith_Y11make_binary_i95_V6result[L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_L5100ln_C1j]=enumeration(1);
928         }
929       } else {
930         L4ieee_W15std_logic_arith_Y11make_binary_i95_V6result[L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_C1i]=L4ieee_W15std_logic_arith_C10tbl_binary[L4ieee_W15std_logic_arith_Y11make_binary_i95_C1a[L4ieee_W15std_logic_arith_Y11make_binary_i95_L498ln_C1i]];
931       }
932     }
933     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y11make_binary_i95_V6result);
934 }
935 enumeration L4ieee_W15std_logic_arith_itn80_lit[]={84,104,101,114,101,32,105,115,32,97,110,32,39,85,39,124,39,88,39,124,39,87,39,124,39,90,39,124,39,45,39,32,105,110,32,97,110,32,97,114,105,116,104,109,101,116,105,99,32,111,112,101,114,97,110,100,44,32,116,104,101,32,114,101,115,117,108,116,32,119,105,108,108,32,98,101,32,39,88,39,40,101,115,41,46};
936 /* Implementation of subprogram :ieee:std_logic_arith:make_binary */
L4ieee_W15std_logic_arith_Y11make_binary_i103(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y11make_binary_i103_C1a)937 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_W15std_logic_arith_Y11make_binary_i103(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y11make_binary_i103_C1a)
938 {
939     enumeration L4ieee_W15std_logic_arith_Y11make_binary_i103_V7one_bit;
940     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_W15std_logic_arith_Y11make_binary_i103_V6result;
941     integer L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_C1i,L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_C1i_lc,L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_C1i_ls,L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_L5108ln_C1j,L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_L5108ln_C1j_lc,L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_L5108ln_C1j_ls;
942     L4ieee_W15std_logic_arith_Y11make_binary_i103_V7one_bit=enumeration(0);
943     L4ieee_W15std_logic_arith_Y11make_binary_i103_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,L4ieee_W15std_logic_arith_Y11make_binary_i103_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i103_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i103_C1a.info->right_bound,0)),enumeration(0));
944     for (L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_C1i=L4ieee_W15std_logic_arith_Y11make_binary_i103_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_C1i_ls=L4ieee_W15std_logic_arith_Y11make_binary_i103_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_C1i_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y11make_binary_i103_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i103_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i103_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_C1i+=L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_C1i_ls,L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_C1i_lc--) {
945       if(L4ieee_W15std_logic_arith_C4is_x[L4ieee_W15std_logic_arith_Y11make_binary_i103_C1a[L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_C1i]]) {
946         if(!enumeration(0))
947           report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+84,0),L4ieee_W15std_logic_arith_itn80_lit),enumeration(1));
948         for (L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_L5108ln_C1j=L4ieee_W15std_logic_arith_Y11make_binary_i103_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_L5108ln_C1j_ls=L4ieee_W15std_logic_arith_Y11make_binary_i103_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_L5108ln_C1j_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y11make_binary_i103_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y11make_binary_i103_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y11make_binary_i103_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_L5108ln_C1j_lc!=0; L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_L5108ln_C1j+=L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_L5108ln_C1j_ls,L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_L5108ln_C1j_lc--) {
949           L4ieee_W15std_logic_arith_Y11make_binary_i103_V6result[L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_L5108ln_C1j]=enumeration(1);
950         }
951       } else {
952         L4ieee_W15std_logic_arith_Y11make_binary_i103_V6result[L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_C1i]=L4ieee_W15std_logic_arith_C10tbl_binary[L4ieee_W15std_logic_arith_Y11make_binary_i103_C1a[L4ieee_W15std_logic_arith_Y11make_binary_i103_L5106ln_C1i]];
953       }
954     }
955     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y11make_binary_i103_V6result);
956 }
957 /* Implementation of subprogram :ieee:std_logic_arith:left_signed_arg */
L4ieee_W15std_logic_arith_Y15left_signed_arg_i112(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y15left_signed_arg_i112_C1a,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y15left_signed_arg_i112_C1b)958 L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y15left_signed_arg_i112(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y15left_signed_arg_i112_C1a,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y15left_signed_arg_i112_C1b)
959 {
960     L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y15left_signed_arg_i112_V1z;
961     L4ieee_W15std_logic_arith_Y15left_signed_arg_i112_V1z.init((new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,L4ieee_W15std_logic_arith_Y15left_signed_arg_i112_C1a.info->left_bound,downto,0,0)),enumeration(0));
962     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y15left_signed_arg_i112_V1z);
963 }
964 /* Implementation of subprogram :ieee:std_logic_arith:left_unsigned_arg */
L4ieee_W15std_logic_arith_Y17left_unsigned_arg_i116(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y17left_unsigned_arg_i116_C1a,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y17left_unsigned_arg_i116_C1b)965 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y17left_unsigned_arg_i116(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y17left_unsigned_arg_i116_C1a,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y17left_unsigned_arg_i116_C1b)
966 {
967     L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y17left_unsigned_arg_i116_V1z;
968     L4ieee_W15std_logic_arith_Y17left_unsigned_arg_i116_V1z.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,L4ieee_W15std_logic_arith_Y17left_unsigned_arg_i116_C1a.info->left_bound,downto,0,0)),enumeration(0));
969     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_W15std_logic_arith_Y17left_unsigned_arg_i116_V1z);
970 }
971 /* Implementation of subprogram :ieee:std_logic_arith:mult_signed_arg */
L4ieee_W15std_logic_arith_Y15mult_signed_arg_i120(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y15mult_signed_arg_i120_C1a,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y15mult_signed_arg_i120_C1b)972 L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y15mult_signed_arg_i120(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y15mult_signed_arg_i120_C1a,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y15mult_signed_arg_i120_C1b)
973 {
974     L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y15mult_signed_arg_i120_V1z;
975     L4ieee_W15std_logic_arith_Y15mult_signed_arg_i120_V1z.init((new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,((L4ieee_W15std_logic_arith_Y15mult_signed_arg_i120_C1a.info->length+L4ieee_W15std_logic_arith_Y15mult_signed_arg_i120_C1b.info->length)-1),downto,0,0)),enumeration(0));
976     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y15mult_signed_arg_i120_V1z);
977 }
978 /* Implementation of subprogram :ieee:std_logic_arith:mult_unsigned_arg */
L4ieee_W15std_logic_arith_Y17mult_unsigned_arg_i124(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y17mult_unsigned_arg_i124_C1a,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y17mult_unsigned_arg_i124_C1b)979 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y17mult_unsigned_arg_i124(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y17mult_unsigned_arg_i124_C1a,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y17mult_unsigned_arg_i124_C1b)
980 {
981     L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y17mult_unsigned_arg_i124_V1z;
982     L4ieee_W15std_logic_arith_Y17mult_unsigned_arg_i124_V1z.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,((L4ieee_W15std_logic_arith_Y17mult_unsigned_arg_i124_C1a.info->length+L4ieee_W15std_logic_arith_Y17mult_unsigned_arg_i124_C1b.info->length)-1),downto,0,0)),enumeration(0));
983     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_W15std_logic_arith_Y17mult_unsigned_arg_i124_V1z);
984 }
985 enumeration L4ieee_W15std_logic_arith_itn81_lit[]={2,3};
986 enumeration L4ieee_W15std_logic_arith_itn82_lit[]={2,3};
987 /* Implementation of subprogram :ieee:std_logic_arith:mult */
L4ieee_W15std_logic_arith_Y4mult_i128(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y4mult_i128_C1a,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y4mult_i128_C1b)988 L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y4mult_i128(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y4mult_i128_C1a,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y4mult_i128_C1b)
989 {
990     L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y4mult_i128_V2ba,L4ieee_W15std_logic_arith_Y4mult_i128_V2pa,L4ieee_W15std_logic_arith_Y4mult_i128_V2aa;
991     enumeration L4ieee_W15std_logic_arith_Y4mult_i128_V3neg;
992     L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y4mult_i128_C3one;
993     integer L4ieee_W15std_logic_arith_Y4mult_i128_L5134ln_C1j,L4ieee_W15std_logic_arith_Y4mult_i128_L5134ln_C1j_lc,L4ieee_W15std_logic_arith_Y4mult_i128_L5134ln_C1j_ls,L4ieee_W15std_logic_arith_Y4mult_i128_L5136ln_C1j,L4ieee_W15std_logic_arith_Y4mult_i128_L5136ln_C1j_lc,L4ieee_W15std_logic_arith_Y4mult_i128_L5136ln_C1j_ls,L4ieee_W15std_logic_arith_Y4mult_i128_L5138ln_C1i,L4ieee_W15std_logic_arith_Y4mult_i128_L5138ln_C1i_lc;
994     L4ieee_W15std_logic_arith_Y4mult_i128_V2ba.init((new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,((L4ieee_W15std_logic_arith_Y4mult_i128_C1a.info->length+L4ieee_W15std_logic_arith_Y4mult_i128_C1b.info->length)-1),downto,0,0)),enumeration(0));
995     L4ieee_W15std_logic_arith_Y4mult_i128_V2pa.init((new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,((L4ieee_W15std_logic_arith_Y4mult_i128_C1a.info->length+L4ieee_W15std_logic_arith_Y4mult_i128_C1b.info->length)-1),downto,0,0)),enumeration(0));
996     L4ieee_W15std_logic_arith_Y4mult_i128_V2aa.init((new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,L4ieee_W15std_logic_arith_Y4mult_i128_C1a.info->length,downto,0,0)),enumeration(0));
997     L4ieee_W15std_logic_arith_Y4mult_i128_V3neg=enumeration(0);
998     L4ieee_W15std_logic_arith_Y4mult_i128_C3one.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,1,downto,0,0)),enumeration(0));
999     L4ieee_W15std_logic_arith_Y4mult_i128_C3one=array_alias<L4ieee_Q15std_logic_arith_T8unsigned >(new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,1,downto,0,0),L4ieee_W15std_logic_arith_itn82_lit);
1000     if(((L4ieee_W15std_logic_arith_Y4mult_i128_C1a[L4ieee_W15std_logic_arith_Y4mult_i128_C1a.info->left_bound]==enumeration(1))||(L4ieee_W15std_logic_arith_Y4mult_i128_C1b[L4ieee_W15std_logic_arith_Y4mult_i128_C1b.info->left_bound]==enumeration(1)))) {
1001       for (L4ieee_W15std_logic_arith_Y4mult_i128_L5134ln_C1j=L4ieee_W15std_logic_arith_Y4mult_i128_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y4mult_i128_L5134ln_C1j_ls=L4ieee_W15std_logic_arith_Y4mult_i128_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y4mult_i128_L5134ln_C1j_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y4mult_i128_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y4mult_i128_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y4mult_i128_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y4mult_i128_L5134ln_C1j_lc!=0; L4ieee_W15std_logic_arith_Y4mult_i128_L5134ln_C1j+=L4ieee_W15std_logic_arith_Y4mult_i128_L5134ln_C1j_ls,L4ieee_W15std_logic_arith_Y4mult_i128_L5134ln_C1j_lc--) {
1002         L4ieee_W15std_logic_arith_Y4mult_i128_V2pa[L4ieee_W15std_logic_arith_Y4mult_i128_L5134ln_C1j]=enumeration(1);
1003       }
1004     } else {
1005       for (L4ieee_W15std_logic_arith_Y4mult_i128_L5136ln_C1j=L4ieee_W15std_logic_arith_Y4mult_i128_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y4mult_i128_L5136ln_C1j_ls=L4ieee_W15std_logic_arith_Y4mult_i128_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y4mult_i128_L5136ln_C1j_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y4mult_i128_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y4mult_i128_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y4mult_i128_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y4mult_i128_L5136ln_C1j_lc!=0; L4ieee_W15std_logic_arith_Y4mult_i128_L5136ln_C1j+=L4ieee_W15std_logic_arith_Y4mult_i128_L5136ln_C1j_ls,L4ieee_W15std_logic_arith_Y4mult_i128_L5136ln_C1j_lc--) {
1006         L4ieee_W15std_logic_arith_Y4mult_i128_V2pa[L4ieee_W15std_logic_arith_Y4mult_i128_L5136ln_C1j]=enumeration(2);
1007       }
1008       L4ieee_W15std_logic_arith_Y4mult_i128_V3neg=L4ieee_Q14std_logic_1164_Y6op_xor_i63 (L4ieee_W15std_logic_arith_Y4mult_i128_C1b[L4ieee_W15std_logic_arith_Y4mult_i128_C1b.info->left_bound],L4ieee_W15std_logic_arith_Y4mult_i128_C1a[L4ieee_W15std_logic_arith_Y4mult_i128_C1a.info->left_bound]);
1009       L4ieee_W15std_logic_arith_Y4mult_i128_V2ba=L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (concat<L4ieee_Q15std_logic_arith_T6signed,enumeration>(L4ieee_Q15std_logic_arith_T6signed(new array_info(L4ieee_Q15std_logic_arith_I6signed_INFO.element_type,L4ieee_Q15std_logic_arith_I6signed_INFO.index_type,0,to,0,0),enumeration(2)),L4ieee_Q15std_logic_arith_Y0_i180 (L4ieee_W15std_logic_arith_Y4mult_i128_C1b)),(L4ieee_W15std_logic_arith_Y4mult_i128_C1a.info->length+L4ieee_W15std_logic_arith_Y4mult_i128_C1b.info->length));
1010       L4ieee_W15std_logic_arith_Y4mult_i128_V2aa=concat<L4ieee_Q15std_logic_arith_T6signed,enumeration>(L4ieee_Q15std_logic_arith_T6signed(new array_info(L4ieee_Q15std_logic_arith_I6signed_INFO.element_type,L4ieee_Q15std_logic_arith_I6signed_INFO.index_type,0,to,0,0),enumeration(2)),L4ieee_Q15std_logic_arith_Y0_i180 (L4ieee_W15std_logic_arith_Y4mult_i128_C1a));
1011       for (L4ieee_W15std_logic_arith_Y4mult_i128_L5138ln_C1i=0,L4ieee_W15std_logic_arith_Y4mult_i128_L5138ln_C1i_lc=up_range_to_length<integer>(0,(L4ieee_W15std_logic_arith_Y4mult_i128_C1a.info->length-1)); L4ieee_W15std_logic_arith_Y4mult_i128_L5138ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y4mult_i128_L5138ln_C1i++,L4ieee_W15std_logic_arith_Y4mult_i128_L5138ln_C1i_lc--) {
1012         if((L4ieee_W15std_logic_arith_Y4mult_i128_V2aa[L4ieee_W15std_logic_arith_Y4mult_i128_L5138ln_C1i]==enumeration(3))) {
1013           L4ieee_W15std_logic_arith_Y4mult_i128_V2pa=L4ieee_Q15std_logic_arith_Y7op_plus_i34 (L4ieee_W15std_logic_arith_Y4mult_i128_V2pa,L4ieee_W15std_logic_arith_Y4mult_i128_V2ba);
1014         }
1015         L4ieee_W15std_logic_arith_Y4mult_i128_V2ba=L4ieee_Q15std_logic_arith_Y3shl_i362 (L4ieee_W15std_logic_arith_Y4mult_i128_V2ba,array_alias<L4ieee_Q15std_logic_arith_T8unsigned >(new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,1,downto,0,0),L4ieee_W15std_logic_arith_itn81_lit));
1016       }
1017       if((L4ieee_W15std_logic_arith_Y4mult_i128_V3neg==enumeration(3))) {
1018         L4ieee_W15std_logic_arith_Y4mult_i128_V2pa=L4ieee_Q15std_logic_arith_Y8op_minus_i124 (0,L4ieee_W15std_logic_arith_Y4mult_i128_V2pa);
1019       }
1020     }
1021     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y4mult_i128_V2pa);
1022 }
1023 enumeration L4ieee_W15std_logic_arith_itn83_lit[]={2,3};
1024 enumeration L4ieee_W15std_logic_arith_itn84_lit[]={2,3};
1025 /* Implementation of subprogram :ieee:std_logic_arith:mult */
L4ieee_W15std_logic_arith_Y4mult_i142(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y4mult_i142_C1a,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y4mult_i142_C1b)1026 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y4mult_i142(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y4mult_i142_C1a,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y4mult_i142_C1b)
1027 {
1028     L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y4mult_i142_V2ba,L4ieee_W15std_logic_arith_Y4mult_i142_V2pa,L4ieee_W15std_logic_arith_Y4mult_i142_C3one;
1029     integer L4ieee_W15std_logic_arith_Y4mult_i142_L5146ln_C1j,L4ieee_W15std_logic_arith_Y4mult_i142_L5146ln_C1j_lc,L4ieee_W15std_logic_arith_Y4mult_i142_L5146ln_C1j_ls,L4ieee_W15std_logic_arith_Y4mult_i142_L5148ln_C1j,L4ieee_W15std_logic_arith_Y4mult_i142_L5148ln_C1j_lc,L4ieee_W15std_logic_arith_Y4mult_i142_L5148ln_C1j_ls,L4ieee_W15std_logic_arith_Y4mult_i142_L5150ln_C1i,L4ieee_W15std_logic_arith_Y4mult_i142_L5150ln_C1i_lc;
1030     L4ieee_W15std_logic_arith_Y4mult_i142_V2ba.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,((L4ieee_W15std_logic_arith_Y4mult_i142_C1a.info->length+L4ieee_W15std_logic_arith_Y4mult_i142_C1b.info->length)-1),downto,0,0)),enumeration(0));
1031     L4ieee_W15std_logic_arith_Y4mult_i142_V2pa.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,((L4ieee_W15std_logic_arith_Y4mult_i142_C1a.info->length+L4ieee_W15std_logic_arith_Y4mult_i142_C1b.info->length)-1),downto,0,0)),enumeration(0));
1032     L4ieee_W15std_logic_arith_Y4mult_i142_C3one.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,1,downto,0,0)),enumeration(0));
1033     L4ieee_W15std_logic_arith_Y4mult_i142_C3one=array_alias<L4ieee_Q15std_logic_arith_T8unsigned >(new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,1,downto,0,0),L4ieee_W15std_logic_arith_itn84_lit);
1034     if(((L4ieee_W15std_logic_arith_Y4mult_i142_C1a[L4ieee_W15std_logic_arith_Y4mult_i142_C1a.info->left_bound]==enumeration(1))||(L4ieee_W15std_logic_arith_Y4mult_i142_C1b[L4ieee_W15std_logic_arith_Y4mult_i142_C1b.info->left_bound]==enumeration(1)))) {
1035       for (L4ieee_W15std_logic_arith_Y4mult_i142_L5146ln_C1j=L4ieee_W15std_logic_arith_Y4mult_i142_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y4mult_i142_L5146ln_C1j_ls=L4ieee_W15std_logic_arith_Y4mult_i142_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y4mult_i142_L5146ln_C1j_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y4mult_i142_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y4mult_i142_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y4mult_i142_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y4mult_i142_L5146ln_C1j_lc!=0; L4ieee_W15std_logic_arith_Y4mult_i142_L5146ln_C1j+=L4ieee_W15std_logic_arith_Y4mult_i142_L5146ln_C1j_ls,L4ieee_W15std_logic_arith_Y4mult_i142_L5146ln_C1j_lc--) {
1036         L4ieee_W15std_logic_arith_Y4mult_i142_V2pa[L4ieee_W15std_logic_arith_Y4mult_i142_L5146ln_C1j]=enumeration(1);
1037       }
1038     } else {
1039       for (L4ieee_W15std_logic_arith_Y4mult_i142_L5148ln_C1j=L4ieee_W15std_logic_arith_Y4mult_i142_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y4mult_i142_L5148ln_C1j_ls=L4ieee_W15std_logic_arith_Y4mult_i142_C1a.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y4mult_i142_L5148ln_C1j_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y4mult_i142_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y4mult_i142_C1a.info->index_direction,L4ieee_W15std_logic_arith_Y4mult_i142_C1a.info->right_bound);L4ieee_W15std_logic_arith_Y4mult_i142_L5148ln_C1j_lc!=0; L4ieee_W15std_logic_arith_Y4mult_i142_L5148ln_C1j+=L4ieee_W15std_logic_arith_Y4mult_i142_L5148ln_C1j_ls,L4ieee_W15std_logic_arith_Y4mult_i142_L5148ln_C1j_lc--) {
1040         L4ieee_W15std_logic_arith_Y4mult_i142_V2pa[L4ieee_W15std_logic_arith_Y4mult_i142_L5148ln_C1j]=enumeration(2);
1041       }
1042       L4ieee_W15std_logic_arith_Y4mult_i142_V2ba=L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_W15std_logic_arith_Y4mult_i142_C1b,(L4ieee_W15std_logic_arith_Y4mult_i142_C1a.info->length+L4ieee_W15std_logic_arith_Y4mult_i142_C1b.info->length));
1043       for (L4ieee_W15std_logic_arith_Y4mult_i142_L5150ln_C1i=0,L4ieee_W15std_logic_arith_Y4mult_i142_L5150ln_C1i_lc=up_range_to_length<integer>(0,(L4ieee_W15std_logic_arith_Y4mult_i142_C1a.info->length-1)); L4ieee_W15std_logic_arith_Y4mult_i142_L5150ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y4mult_i142_L5150ln_C1i++,L4ieee_W15std_logic_arith_Y4mult_i142_L5150ln_C1i_lc--) {
1044         if((L4ieee_W15std_logic_arith_Y4mult_i142_C1a[L4ieee_W15std_logic_arith_Y4mult_i142_L5150ln_C1i]==enumeration(3))) {
1045           L4ieee_W15std_logic_arith_Y4mult_i142_V2pa=L4ieee_Q15std_logic_arith_Y7op_plus_i31 (L4ieee_W15std_logic_arith_Y4mult_i142_V2pa,L4ieee_W15std_logic_arith_Y4mult_i142_V2ba);
1046         }
1047         L4ieee_W15std_logic_arith_Y4mult_i142_V2ba=L4ieee_Q15std_logic_arith_Y3shl_i359 (L4ieee_W15std_logic_arith_Y4mult_i142_V2ba,array_alias<L4ieee_Q15std_logic_arith_T8unsigned >(new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,1,downto,0,0),L4ieee_W15std_logic_arith_itn83_lit));
1048       }
1049     }
1050     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_W15std_logic_arith_Y4mult_i142_V2pa);
1051 }
1052 /* Implementation of subprogram :ieee:std_logic_arith:minus */
L4ieee_W15std_logic_arith_Y5minus_i154(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y5minus_i154_C1a,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y5minus_i154_C1b)1053 L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y5minus_i154(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y5minus_i154_C1a,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y5minus_i154_C1b)
1054 {
1055     enumeration L4ieee_W15std_logic_arith_Y5minus_i154_V5carry;
1056     L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_W15std_logic_arith_Y5minus_i154_V2bv;
1057     L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y5minus_i154_V3sum;
1058     integer L4ieee_W15std_logic_arith_Y5minus_i154_L5158ln_C1j,L4ieee_W15std_logic_arith_Y5minus_i154_L5158ln_C1j_lc,L4ieee_W15std_logic_arith_Y5minus_i154_L5160ln_C1i,L4ieee_W15std_logic_arith_Y5minus_i154_L5160ln_C1i_lc;
1059     L4ieee_W15std_logic_arith_Y5minus_i154_V5carry=enumeration(0);
1060     L4ieee_W15std_logic_arith_Y5minus_i154_V2bv.init((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,L4ieee_W15std_logic_arith_Y5minus_i154_C1a.info->left_bound,downto,0,0)),enumeration(0));
1061     L4ieee_W15std_logic_arith_Y5minus_i154_V3sum.init((new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,L4ieee_W15std_logic_arith_Y5minus_i154_C1a.info->left_bound,downto,0,0)),enumeration(0));
1062     if(((L4ieee_W15std_logic_arith_Y5minus_i154_C1a[L4ieee_W15std_logic_arith_Y5minus_i154_C1a.info->left_bound]==enumeration(1))||(L4ieee_W15std_logic_arith_Y5minus_i154_C1b[L4ieee_W15std_logic_arith_Y5minus_i154_C1b.info->left_bound]==enumeration(1)))) {
1063       for (L4ieee_W15std_logic_arith_Y5minus_i154_L5158ln_C1j=L4ieee_W15std_logic_arith_Y5minus_i154_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y5minus_i154_L5158ln_C1j_lc=down_range_to_length<integer>(L4ieee_W15std_logic_arith_Y5minus_i154_C1a.info->left_bound,0); L4ieee_W15std_logic_arith_Y5minus_i154_L5158ln_C1j_lc!=0; L4ieee_W15std_logic_arith_Y5minus_i154_L5158ln_C1j--,L4ieee_W15std_logic_arith_Y5minus_i154_L5158ln_C1j_lc--) {
1064         L4ieee_W15std_logic_arith_Y5minus_i154_V3sum[L4ieee_W15std_logic_arith_Y5minus_i154_L5158ln_C1j]=enumeration(1);
1065       }
1066     } else {
1067       L4ieee_W15std_logic_arith_Y5minus_i154_V5carry=enumeration(3);
1068       L4ieee_W15std_logic_arith_Y5minus_i154_V2bv=L4ieee_Q14std_logic_1164_Y6op_not_i99 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_W15std_logic_arith_Y5minus_i154_C1b));
1069       for (L4ieee_W15std_logic_arith_Y5minus_i154_L5160ln_C1i=0,L4ieee_W15std_logic_arith_Y5minus_i154_L5160ln_C1i_lc=up_range_to_length<integer>(0,L4ieee_W15std_logic_arith_Y5minus_i154_C1a.info->left_bound); L4ieee_W15std_logic_arith_Y5minus_i154_L5160ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y5minus_i154_L5160ln_C1i++,L4ieee_W15std_logic_arith_Y5minus_i154_L5160ln_C1i_lc--) {
1070         L4ieee_W15std_logic_arith_Y5minus_i154_V3sum[L4ieee_W15std_logic_arith_Y5minus_i154_L5160ln_C1i]=L4ieee_Q14std_logic_1164_Y6op_xor_i63 (L4ieee_Q14std_logic_1164_Y6op_xor_i63 (L4ieee_W15std_logic_arith_Y5minus_i154_C1a[L4ieee_W15std_logic_arith_Y5minus_i154_L5160ln_C1i],L4ieee_W15std_logic_arith_Y5minus_i154_V2bv[L4ieee_W15std_logic_arith_Y5minus_i154_L5160ln_C1i]),L4ieee_W15std_logic_arith_Y5minus_i154_V5carry);
1071         L4ieee_W15std_logic_arith_Y5minus_i154_V5carry=L4ieee_Q14std_logic_1164_Y5op_or_i57 (L4ieee_Q14std_logic_1164_Y5op_or_i57 (L4ieee_Q14std_logic_1164_Y6op_and_i51 (L4ieee_W15std_logic_arith_Y5minus_i154_C1a[L4ieee_W15std_logic_arith_Y5minus_i154_L5160ln_C1i],L4ieee_W15std_logic_arith_Y5minus_i154_V2bv[L4ieee_W15std_logic_arith_Y5minus_i154_L5160ln_C1i]),L4ieee_Q14std_logic_1164_Y6op_and_i51 (L4ieee_W15std_logic_arith_Y5minus_i154_C1a[L4ieee_W15std_logic_arith_Y5minus_i154_L5160ln_C1i],L4ieee_W15std_logic_arith_Y5minus_i154_V5carry)),L4ieee_Q14std_logic_1164_Y6op_and_i51 (L4ieee_W15std_logic_arith_Y5minus_i154_V5carry,L4ieee_W15std_logic_arith_Y5minus_i154_V2bv[L4ieee_W15std_logic_arith_Y5minus_i154_L5160ln_C1i]));
1072       }
1073     }
1074     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y5minus_i154_V3sum);
1075 }
1076 /* Implementation of subprogram :ieee:std_logic_arith:plus */
L4ieee_W15std_logic_arith_Y4plus_i164(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y4plus_i164_C1a,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y4plus_i164_C1b)1077 L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y4plus_i164(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y4plus_i164_C1a,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y4plus_i164_C1b)
1078 {
1079     enumeration L4ieee_W15std_logic_arith_Y4plus_i164_V5carry;
1080     L4ieee_Q15std_logic_arith_T6signed L4ieee_W15std_logic_arith_Y4plus_i164_V3sum,L4ieee_W15std_logic_arith_Y4plus_i164_V2bv;
1081     integer L4ieee_W15std_logic_arith_Y4plus_i164_L5168ln_C1j,L4ieee_W15std_logic_arith_Y4plus_i164_L5168ln_C1j_lc,L4ieee_W15std_logic_arith_Y4plus_i164_L5170ln_C1i,L4ieee_W15std_logic_arith_Y4plus_i164_L5170ln_C1i_lc;
1082     L4ieee_W15std_logic_arith_Y4plus_i164_V5carry=enumeration(0);
1083     L4ieee_W15std_logic_arith_Y4plus_i164_V3sum.init((new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,L4ieee_W15std_logic_arith_Y4plus_i164_C1a.info->left_bound,downto,0,0)),enumeration(0));
1084     L4ieee_W15std_logic_arith_Y4plus_i164_V2bv.init((new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,L4ieee_W15std_logic_arith_Y4plus_i164_C1a.info->left_bound,downto,0,0)),enumeration(0));
1085     if(((L4ieee_W15std_logic_arith_Y4plus_i164_C1a[L4ieee_W15std_logic_arith_Y4plus_i164_C1a.info->left_bound]==enumeration(1))||(L4ieee_W15std_logic_arith_Y4plus_i164_C1b[L4ieee_W15std_logic_arith_Y4plus_i164_C1b.info->left_bound]==enumeration(1)))) {
1086       for (L4ieee_W15std_logic_arith_Y4plus_i164_L5168ln_C1j=L4ieee_W15std_logic_arith_Y4plus_i164_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y4plus_i164_L5168ln_C1j_lc=down_range_to_length<integer>(L4ieee_W15std_logic_arith_Y4plus_i164_C1a.info->left_bound,0); L4ieee_W15std_logic_arith_Y4plus_i164_L5168ln_C1j_lc!=0; L4ieee_W15std_logic_arith_Y4plus_i164_L5168ln_C1j--,L4ieee_W15std_logic_arith_Y4plus_i164_L5168ln_C1j_lc--) {
1087         L4ieee_W15std_logic_arith_Y4plus_i164_V3sum[L4ieee_W15std_logic_arith_Y4plus_i164_L5168ln_C1j]=enumeration(1);
1088       }
1089     } else {
1090       L4ieee_W15std_logic_arith_Y4plus_i164_V5carry=enumeration(2);
1091       L4ieee_W15std_logic_arith_Y4plus_i164_V2bv=L4ieee_W15std_logic_arith_Y4plus_i164_C1b;
1092       for (L4ieee_W15std_logic_arith_Y4plus_i164_L5170ln_C1i=0,L4ieee_W15std_logic_arith_Y4plus_i164_L5170ln_C1i_lc=up_range_to_length<integer>(0,L4ieee_W15std_logic_arith_Y4plus_i164_C1a.info->left_bound); L4ieee_W15std_logic_arith_Y4plus_i164_L5170ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y4plus_i164_L5170ln_C1i++,L4ieee_W15std_logic_arith_Y4plus_i164_L5170ln_C1i_lc--) {
1093         L4ieee_W15std_logic_arith_Y4plus_i164_V3sum[L4ieee_W15std_logic_arith_Y4plus_i164_L5170ln_C1i]=L4ieee_Q14std_logic_1164_Y6op_xor_i63 (L4ieee_Q14std_logic_1164_Y6op_xor_i63 (L4ieee_W15std_logic_arith_Y4plus_i164_C1a[L4ieee_W15std_logic_arith_Y4plus_i164_L5170ln_C1i],L4ieee_W15std_logic_arith_Y4plus_i164_V2bv[L4ieee_W15std_logic_arith_Y4plus_i164_L5170ln_C1i]),L4ieee_W15std_logic_arith_Y4plus_i164_V5carry);
1094         L4ieee_W15std_logic_arith_Y4plus_i164_V5carry=L4ieee_Q14std_logic_1164_Y5op_or_i57 (L4ieee_Q14std_logic_1164_Y5op_or_i57 (L4ieee_Q14std_logic_1164_Y6op_and_i51 (L4ieee_W15std_logic_arith_Y4plus_i164_C1a[L4ieee_W15std_logic_arith_Y4plus_i164_L5170ln_C1i],L4ieee_W15std_logic_arith_Y4plus_i164_V2bv[L4ieee_W15std_logic_arith_Y4plus_i164_L5170ln_C1i]),L4ieee_Q14std_logic_1164_Y6op_and_i51 (L4ieee_W15std_logic_arith_Y4plus_i164_C1a[L4ieee_W15std_logic_arith_Y4plus_i164_L5170ln_C1i],L4ieee_W15std_logic_arith_Y4plus_i164_V5carry)),L4ieee_Q14std_logic_1164_Y6op_and_i51 (L4ieee_W15std_logic_arith_Y4plus_i164_V5carry,L4ieee_W15std_logic_arith_Y4plus_i164_V2bv[L4ieee_W15std_logic_arith_Y4plus_i164_L5170ln_C1i]));
1095       }
1096     }
1097     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y4plus_i164_V3sum);
1098 }
1099 /* Implementation of subprogram :ieee:std_logic_arith:unsigned_minus */
L4ieee_W15std_logic_arith_Y14unsigned_minus_i174(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_C1a,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_C1b)1100 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y14unsigned_minus_i174(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_C1a,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_C1b)
1101 {
1102     enumeration L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V5carry;
1103     L4ieee_Q14std_logic_1164_T17std_ulogic_vector L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V2bv;
1104     L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V3sum;
1105     integer L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5178ln_C1j,L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5178ln_C1j_lc,L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5180ln_C1i,L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5180ln_C1i_lc;
1106     L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V5carry=enumeration(0);
1107     L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V2bv.init((new array_info((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO)->index_type,L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_C1a.info->left_bound,downto,0,0)),enumeration(0));
1108     L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V3sum.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_C1a.info->left_bound,downto,0,0)),enumeration(0));
1109     if(((L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_C1a[L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_C1a.info->left_bound]==enumeration(1))||(L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_C1b[L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_C1b.info->left_bound]==enumeration(1)))) {
1110       for (L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5178ln_C1j=L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5178ln_C1j_lc=down_range_to_length<integer>(L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_C1a.info->left_bound,0); L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5178ln_C1j_lc!=0; L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5178ln_C1j--,L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5178ln_C1j_lc--) {
1111         L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V3sum[L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5178ln_C1j]=enumeration(1);
1112       }
1113     } else {
1114       L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V5carry=enumeration(3);
1115       L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V2bv=L4ieee_Q14std_logic_1164_Y6op_not_i99 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_C1b));
1116       for (L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5180ln_C1i=0,L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5180ln_C1i_lc=up_range_to_length<integer>(0,L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_C1a.info->left_bound); L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5180ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5180ln_C1i++,L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5180ln_C1i_lc--) {
1117         L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V3sum[L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5180ln_C1i]=L4ieee_Q14std_logic_1164_Y6op_xor_i63 (L4ieee_Q14std_logic_1164_Y6op_xor_i63 (L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_C1a[L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5180ln_C1i],L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V2bv[L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5180ln_C1i]),L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V5carry);
1118         L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V5carry=L4ieee_Q14std_logic_1164_Y5op_or_i57 (L4ieee_Q14std_logic_1164_Y5op_or_i57 (L4ieee_Q14std_logic_1164_Y6op_and_i51 (L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_C1a[L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5180ln_C1i],L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V2bv[L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5180ln_C1i]),L4ieee_Q14std_logic_1164_Y6op_and_i51 (L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_C1a[L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5180ln_C1i],L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V5carry)),L4ieee_Q14std_logic_1164_Y6op_and_i51 (L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V5carry,L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V2bv[L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_L5180ln_C1i]));
1119       }
1120     }
1121     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_W15std_logic_arith_Y14unsigned_minus_i174_V3sum);
1122 }
1123 /* Implementation of subprogram :ieee:std_logic_arith:unsigned_plus */
L4ieee_W15std_logic_arith_Y13unsigned_plus_i184(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_C1a,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_C1b)1124 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y13unsigned_plus_i184(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_C1a,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_C1b)
1125 {
1126     enumeration L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V5carry;
1127     L4ieee_Q15std_logic_arith_T8unsigned L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V3sum,L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V2bv;
1128     integer L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5188ln_C1j,L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5188ln_C1j_lc,L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5190ln_C1i,L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5190ln_C1i_lc;
1129     L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V5carry=enumeration(0);
1130     L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V3sum.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_C1a.info->left_bound,downto,0,0)),enumeration(0));
1131     L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V2bv.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_C1a.info->left_bound,downto,0,0)),enumeration(0));
1132     if(((L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_C1a[L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_C1a.info->left_bound]==enumeration(1))||(L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_C1b[L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_C1b.info->left_bound]==enumeration(1)))) {
1133       for (L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5188ln_C1j=L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_C1a.info->left_bound,L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5188ln_C1j_lc=down_range_to_length<integer>(L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_C1a.info->left_bound,0); L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5188ln_C1j_lc!=0; L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5188ln_C1j--,L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5188ln_C1j_lc--) {
1134         L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V3sum[L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5188ln_C1j]=enumeration(1);
1135       }
1136     } else {
1137       L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V5carry=enumeration(2);
1138       L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V2bv=L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_C1b;
1139       for (L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5190ln_C1i=0,L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5190ln_C1i_lc=up_range_to_length<integer>(0,L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_C1a.info->left_bound); L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5190ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5190ln_C1i++,L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5190ln_C1i_lc--) {
1140         L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V3sum[L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5190ln_C1i]=L4ieee_Q14std_logic_1164_Y6op_xor_i63 (L4ieee_Q14std_logic_1164_Y6op_xor_i63 (L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_C1a[L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5190ln_C1i],L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V2bv[L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5190ln_C1i]),L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V5carry);
1141         L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V5carry=L4ieee_Q14std_logic_1164_Y5op_or_i57 (L4ieee_Q14std_logic_1164_Y5op_or_i57 (L4ieee_Q14std_logic_1164_Y6op_and_i51 (L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_C1a[L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5190ln_C1i],L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V2bv[L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5190ln_C1i]),L4ieee_Q14std_logic_1164_Y6op_and_i51 (L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_C1a[L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5190ln_C1i],L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V5carry)),L4ieee_Q14std_logic_1164_Y6op_and_i51 (L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V5carry,L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V2bv[L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_L5190ln_C1i]));
1142       }
1143     }
1144     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_W15std_logic_arith_Y13unsigned_plus_i184_V3sum);
1145 }
1146 /* Implementation of subprogram :ieee:std_logic_arith:"*" */
L4ieee_Q15std_logic_arith_Y7op_mult_i194(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_mult_i194_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_mult_i194_C1r)1147 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_mult_i194(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_mult_i194_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_mult_i194_C1r)
1148 {
1149     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y4mult_i128 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_mult_i194_C1l,L4ieee_Q15std_logic_arith_Y7op_mult_i194_C1l.info->length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_mult_i194_C1r,L4ieee_Q15std_logic_arith_Y7op_mult_i194_C1r.info->length)));
1150 }
1151 /* Implementation of subprogram :ieee:std_logic_arith:"*" */
L4ieee_Q15std_logic_arith_Y7op_mult_i191(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_mult_i191_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_mult_i191_C1r)1152 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_mult_i191(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_mult_i191_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_mult_i191_C1r)
1153 {
1154     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_W15std_logic_arith_Y4mult_i142 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y7op_mult_i191_C1l,L4ieee_Q15std_logic_arith_Y7op_mult_i191_C1l.info->length),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y7op_mult_i191_C1r,L4ieee_Q15std_logic_arith_Y7op_mult_i191_C1r.info->length)));
1155 }
1156 /* Implementation of subprogram :ieee:std_logic_arith:"*" */
L4ieee_Q15std_logic_arith_Y7op_mult_i200(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_mult_i200_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_mult_i200_C1r)1157 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_mult_i200(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_mult_i200_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_mult_i200_C1r)
1158 {
1159     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y4mult_i128 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y7op_mult_i200_C1l,(L4ieee_Q15std_logic_arith_Y7op_mult_i200_C1l.info->length+1)),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_mult_i200_C1r,L4ieee_Q15std_logic_arith_Y7op_mult_i200_C1r.info->length)));
1160 }
1161 /* Implementation of subprogram :ieee:std_logic_arith:"*" */
L4ieee_Q15std_logic_arith_Y7op_mult_i197(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_mult_i197_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_mult_i197_C1r)1162 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_mult_i197(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_mult_i197_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_mult_i197_C1r)
1163 {
1164     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y4mult_i128 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_mult_i197_C1l,L4ieee_Q15std_logic_arith_Y7op_mult_i197_C1l.info->length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y7op_mult_i197_C1r,(L4ieee_Q15std_logic_arith_Y7op_mult_i197_C1r.info->length+1))));
1165 }
1166 /* Implementation of subprogram :ieee:std_logic_arith:"*" */
L4ieee_Q15std_logic_arith_Y7op_mult_i206(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_mult_i206_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_mult_i206_C1r)1167 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_mult_i206(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_mult_i206_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_mult_i206_C1r)
1168 {
1169     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y4mult_i128 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_mult_i206_C1l,L4ieee_Q15std_logic_arith_Y7op_mult_i206_C1l.info->length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_mult_i206_C1r,L4ieee_Q15std_logic_arith_Y7op_mult_i206_C1r.info->length))));
1170 }
1171 /* Implementation of subprogram :ieee:std_logic_arith:"*" */
L4ieee_Q15std_logic_arith_Y7op_mult_i203(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_mult_i203_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_mult_i203_C1r)1172 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_mult_i203(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_mult_i203_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_mult_i203_C1r)
1173 {
1174     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y4mult_i142 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y7op_mult_i203_C1l,L4ieee_Q15std_logic_arith_Y7op_mult_i203_C1l.info->length),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y7op_mult_i203_C1r,L4ieee_Q15std_logic_arith_Y7op_mult_i203_C1r.info->length))));
1175 }
1176 /* Implementation of subprogram :ieee:std_logic_arith:"*" */
L4ieee_Q15std_logic_arith_Y7op_mult_i212(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_mult_i212_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_mult_i212_C1r)1177 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_mult_i212(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_mult_i212_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_mult_i212_C1r)
1178 {
1179     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y4mult_i128 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y7op_mult_i212_C1l,(L4ieee_Q15std_logic_arith_Y7op_mult_i212_C1l.info->length+1)),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_mult_i212_C1r,L4ieee_Q15std_logic_arith_Y7op_mult_i212_C1r.info->length))));
1180 }
1181 /* Implementation of subprogram :ieee:std_logic_arith:"*" */
L4ieee_Q15std_logic_arith_Y7op_mult_i209(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_mult_i209_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_mult_i209_C1r)1182 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_mult_i209(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_mult_i209_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_mult_i209_C1r)
1183 {
1184     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y4mult_i128 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_mult_i209_C1l,L4ieee_Q15std_logic_arith_Y7op_mult_i209_C1l.info->length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y7op_mult_i209_C1r,(L4ieee_Q15std_logic_arith_Y7op_mult_i209_C1r.info->length+1)))));
1185 }
1186 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i31(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i31_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i31_C1r)1187 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i31(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i31_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i31_C1r)
1188 {
1189     integer L4ieee_Q15std_logic_arith_Y7op_plus_i31_C6length;
1190     L4ieee_Q15std_logic_arith_Y7op_plus_i31_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y7op_plus_i31_C1l.info->length,L4ieee_Q15std_logic_arith_Y7op_plus_i31_C1r.info->length);
1191     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_W15std_logic_arith_Y13unsigned_plus_i184 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y7op_plus_i31_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i31_C6length),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y7op_plus_i31_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i31_C6length)));
1192 }
1193 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i34(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i34_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i34_C1r)1194 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i34(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i34_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i34_C1r)
1195 {
1196     integer L4ieee_Q15std_logic_arith_Y7op_plus_i34_C6length;
1197     L4ieee_Q15std_logic_arith_Y7op_plus_i34_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y7op_plus_i34_C1l.info->length,L4ieee_Q15std_logic_arith_Y7op_plus_i34_C1r.info->length);
1198     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_plus_i34_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i34_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_plus_i34_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i34_C6length)));
1199 }
1200 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i37(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i37_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i37_C1r)1201 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i37(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i37_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i37_C1r)
1202 {
1203     integer L4ieee_Q15std_logic_arith_Y7op_plus_i37_C6length;
1204     L4ieee_Q15std_logic_arith_Y7op_plus_i37_C6length=L4ieee_W15std_logic_arith_Y3max_i8 ((L4ieee_Q15std_logic_arith_Y7op_plus_i37_C1l.info->length+1),L4ieee_Q15std_logic_arith_Y7op_plus_i37_C1r.info->length);
1205     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y7op_plus_i37_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i37_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_plus_i37_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i37_C6length)));
1206 }
1207 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i40(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i40_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i40_C1r)1208 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i40(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i40_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i40_C1r)
1209 {
1210     integer L4ieee_Q15std_logic_arith_Y7op_plus_i40_C6length;
1211     L4ieee_Q15std_logic_arith_Y7op_plus_i40_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y7op_plus_i40_C1l.info->length,(L4ieee_Q15std_logic_arith_Y7op_plus_i40_C1r.info->length+1));
1212     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_plus_i40_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i40_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y7op_plus_i40_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i40_C6length)));
1213 }
1214 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i43(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i43_C1l,const integer L4ieee_Q15std_logic_arith_Y7op_plus_i43_C1r)1215 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i43(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i43_C1l,const integer L4ieee_Q15std_logic_arith_Y7op_plus_i43_C1r)
1216 {
1217     integer L4ieee_Q15std_logic_arith_Y7op_plus_i43_C6length;
1218     L4ieee_Q15std_logic_arith_Y7op_plus_i43_C6length=(L4ieee_Q15std_logic_arith_Y7op_plus_i43_C1l.info->length+1);
1219     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385 (L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y7op_plus_i43_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i43_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y7op_plus_i43_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i43_C6length)),(L4ieee_Q15std_logic_arith_Y7op_plus_i43_C6length-1)));
1220 }
1221 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i46(const integer L4ieee_Q15std_logic_arith_Y7op_plus_i46_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i46_C1r)1222 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i46(const integer L4ieee_Q15std_logic_arith_Y7op_plus_i46_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i46_C1r)
1223 {
1224     integer L4ieee_Q15std_logic_arith_Y7op_plus_i46_C6length;
1225     L4ieee_Q15std_logic_arith_Y7op_plus_i46_C6length=(L4ieee_Q15std_logic_arith_Y7op_plus_i46_C1r.info->length+1);
1226     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385 (L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y7op_plus_i46_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i46_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y7op_plus_i46_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i46_C6length)),(L4ieee_Q15std_logic_arith_Y7op_plus_i46_C6length-1)));
1227 }
1228 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i49(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i49_C1l,const integer L4ieee_Q15std_logic_arith_Y7op_plus_i49_C1r)1229 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i49(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i49_C1l,const integer L4ieee_Q15std_logic_arith_Y7op_plus_i49_C1r)
1230 {
1231     integer L4ieee_Q15std_logic_arith_Y7op_plus_i49_C6length;
1232     L4ieee_Q15std_logic_arith_Y7op_plus_i49_C6length=L4ieee_Q15std_logic_arith_Y7op_plus_i49_C1l.info->length;
1233     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_plus_i49_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i49_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y7op_plus_i49_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i49_C6length)));
1234 }
1235 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i52(const integer L4ieee_Q15std_logic_arith_Y7op_plus_i52_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i52_C1r)1236 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i52(const integer L4ieee_Q15std_logic_arith_Y7op_plus_i52_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i52_C1r)
1237 {
1238     integer L4ieee_Q15std_logic_arith_Y7op_plus_i52_C6length;
1239     L4ieee_Q15std_logic_arith_Y7op_plus_i52_C6length=L4ieee_Q15std_logic_arith_Y7op_plus_i52_C1r.info->length;
1240     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y7op_plus_i52_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i52_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_plus_i52_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i52_C6length)));
1241 }
1242 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i55(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i55_C1l,const enumeration L4ieee_Q15std_logic_arith_Y7op_plus_i55_C1r)1243 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i55(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i55_C1l,const enumeration L4ieee_Q15std_logic_arith_Y7op_plus_i55_C1r)
1244 {
1245     integer L4ieee_Q15std_logic_arith_Y7op_plus_i55_C6length;
1246     L4ieee_Q15std_logic_arith_Y7op_plus_i55_C6length=L4ieee_Q15std_logic_arith_Y7op_plus_i55_C1l.info->length;
1247     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_W15std_logic_arith_Y13unsigned_plus_i184 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y7op_plus_i55_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i55_C6length),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388 (L4ieee_Q15std_logic_arith_Y7op_plus_i55_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i55_C6length)));
1248 }
1249 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i58(const enumeration L4ieee_Q15std_logic_arith_Y7op_plus_i58_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i58_C1r)1250 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i58(const enumeration L4ieee_Q15std_logic_arith_Y7op_plus_i58_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i58_C1r)
1251 {
1252     integer L4ieee_Q15std_logic_arith_Y7op_plus_i58_C6length;
1253     L4ieee_Q15std_logic_arith_Y7op_plus_i58_C6length=L4ieee_Q15std_logic_arith_Y7op_plus_i58_C1r.info->length;
1254     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_W15std_logic_arith_Y13unsigned_plus_i184 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388 (L4ieee_Q15std_logic_arith_Y7op_plus_i58_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i58_C6length),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y7op_plus_i58_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i58_C6length)));
1255 }
1256 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i61(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i61_C1l,const enumeration L4ieee_Q15std_logic_arith_Y7op_plus_i61_C1r)1257 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i61(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i61_C1l,const enumeration L4ieee_Q15std_logic_arith_Y7op_plus_i61_C1r)
1258 {
1259     integer L4ieee_Q15std_logic_arith_Y7op_plus_i61_C6length;
1260     L4ieee_Q15std_logic_arith_Y7op_plus_i61_C6length=L4ieee_Q15std_logic_arith_Y7op_plus_i61_C1l.info->length;
1261     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_plus_i61_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i61_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i400 (L4ieee_Q15std_logic_arith_Y7op_plus_i61_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i61_C6length)));
1262 }
1263 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i64(const enumeration L4ieee_Q15std_logic_arith_Y7op_plus_i64_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i64_C1r)1264 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i64(const enumeration L4ieee_Q15std_logic_arith_Y7op_plus_i64_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i64_C1r)
1265 {
1266     integer L4ieee_Q15std_logic_arith_Y7op_plus_i64_C6length;
1267     L4ieee_Q15std_logic_arith_Y7op_plus_i64_C6length=L4ieee_Q15std_logic_arith_Y7op_plus_i64_C1r.info->length;
1268     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i400 (L4ieee_Q15std_logic_arith_Y7op_plus_i64_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i64_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_plus_i64_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i64_C6length)));
1269 }
1270 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i67(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i67_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i67_C1r)1271 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i67(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i67_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i67_C1r)
1272 {
1273     integer L4ieee_Q15std_logic_arith_Y7op_plus_i67_C6length;
1274     L4ieee_Q15std_logic_arith_Y7op_plus_i67_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y7op_plus_i67_C1l.info->length,L4ieee_Q15std_logic_arith_Y7op_plus_i67_C1r.info->length);
1275     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y13unsigned_plus_i184 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y7op_plus_i67_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i67_C6length),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y7op_plus_i67_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i67_C6length))));
1276 }
1277 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i70(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i70_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i70_C1r)1278 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i70(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i70_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i70_C1r)
1279 {
1280     integer L4ieee_Q15std_logic_arith_Y7op_plus_i70_C6length;
1281     L4ieee_Q15std_logic_arith_Y7op_plus_i70_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y7op_plus_i70_C1l.info->length,L4ieee_Q15std_logic_arith_Y7op_plus_i70_C1r.info->length);
1282     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_plus_i70_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i70_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_plus_i70_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i70_C6length))));
1283 }
1284 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i73(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i73_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i73_C1r)1285 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i73(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i73_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i73_C1r)
1286 {
1287     integer L4ieee_Q15std_logic_arith_Y7op_plus_i73_C6length;
1288     L4ieee_Q15std_logic_arith_Y7op_plus_i73_C6length=L4ieee_W15std_logic_arith_Y3max_i8 ((L4ieee_Q15std_logic_arith_Y7op_plus_i73_C1l.info->length+1),L4ieee_Q15std_logic_arith_Y7op_plus_i73_C1r.info->length);
1289     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y7op_plus_i73_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i73_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_plus_i73_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i73_C6length))));
1290 }
1291 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i76(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i76_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i76_C1r)1292 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i76(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i76_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i76_C1r)
1293 {
1294     integer L4ieee_Q15std_logic_arith_Y7op_plus_i76_C6length;
1295     L4ieee_Q15std_logic_arith_Y7op_plus_i76_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y7op_plus_i76_C1l.info->length,(L4ieee_Q15std_logic_arith_Y7op_plus_i76_C1r.info->length+1));
1296     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_plus_i76_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i76_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y7op_plus_i76_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i76_C6length))));
1297 }
1298 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i79(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i79_C1l,const integer L4ieee_Q15std_logic_arith_Y7op_plus_i79_C1r)1299 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i79(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i79_C1l,const integer L4ieee_Q15std_logic_arith_Y7op_plus_i79_C1r)
1300 {
1301     integer L4ieee_Q15std_logic_arith_Y7op_plus_i79_C6length;
1302     L4ieee_Q15std_logic_arith_Y7op_plus_i79_C6length=(L4ieee_Q15std_logic_arith_Y7op_plus_i79_C1l.info->length+1);
1303     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385 (L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y7op_plus_i79_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i79_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y7op_plus_i79_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i79_C6length)),(L4ieee_Q15std_logic_arith_Y7op_plus_i79_C6length-1))));
1304 }
1305 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i82(const integer L4ieee_Q15std_logic_arith_Y7op_plus_i82_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i82_C1r)1306 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i82(const integer L4ieee_Q15std_logic_arith_Y7op_plus_i82_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i82_C1r)
1307 {
1308     integer L4ieee_Q15std_logic_arith_Y7op_plus_i82_C6length;
1309     L4ieee_Q15std_logic_arith_Y7op_plus_i82_C6length=(L4ieee_Q15std_logic_arith_Y7op_plus_i82_C1r.info->length+1);
1310     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385 (L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y7op_plus_i82_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i82_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y7op_plus_i82_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i82_C6length)),(L4ieee_Q15std_logic_arith_Y7op_plus_i82_C6length-1))));
1311 }
1312 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i85(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i85_C1l,const integer L4ieee_Q15std_logic_arith_Y7op_plus_i85_C1r)1313 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i85(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i85_C1l,const integer L4ieee_Q15std_logic_arith_Y7op_plus_i85_C1r)
1314 {
1315     integer L4ieee_Q15std_logic_arith_Y7op_plus_i85_C6length;
1316     L4ieee_Q15std_logic_arith_Y7op_plus_i85_C6length=L4ieee_Q15std_logic_arith_Y7op_plus_i85_C1l.info->length;
1317     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_plus_i85_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i85_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y7op_plus_i85_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i85_C6length))));
1318 }
1319 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i88(const integer L4ieee_Q15std_logic_arith_Y7op_plus_i88_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i88_C1r)1320 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i88(const integer L4ieee_Q15std_logic_arith_Y7op_plus_i88_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i88_C1r)
1321 {
1322     integer L4ieee_Q15std_logic_arith_Y7op_plus_i88_C6length;
1323     L4ieee_Q15std_logic_arith_Y7op_plus_i88_C6length=L4ieee_Q15std_logic_arith_Y7op_plus_i88_C1r.info->length;
1324     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y7op_plus_i88_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i88_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_plus_i88_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i88_C6length))));
1325 }
1326 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i91(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i91_C1l,const enumeration L4ieee_Q15std_logic_arith_Y7op_plus_i91_C1r)1327 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i91(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i91_C1l,const enumeration L4ieee_Q15std_logic_arith_Y7op_plus_i91_C1r)
1328 {
1329     integer L4ieee_Q15std_logic_arith_Y7op_plus_i91_C6length;
1330     L4ieee_Q15std_logic_arith_Y7op_plus_i91_C6length=L4ieee_Q15std_logic_arith_Y7op_plus_i91_C1l.info->length;
1331     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y13unsigned_plus_i184 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y7op_plus_i91_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i91_C6length),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388 (L4ieee_Q15std_logic_arith_Y7op_plus_i91_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i91_C6length))));
1332 }
1333 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i94(const enumeration L4ieee_Q15std_logic_arith_Y7op_plus_i94_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i94_C1r)1334 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i94(const enumeration L4ieee_Q15std_logic_arith_Y7op_plus_i94_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i94_C1r)
1335 {
1336     integer L4ieee_Q15std_logic_arith_Y7op_plus_i94_C6length;
1337     L4ieee_Q15std_logic_arith_Y7op_plus_i94_C6length=L4ieee_Q15std_logic_arith_Y7op_plus_i94_C1r.info->length;
1338     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y13unsigned_plus_i184 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388 (L4ieee_Q15std_logic_arith_Y7op_plus_i94_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i94_C6length),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y7op_plus_i94_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i94_C6length))));
1339 }
1340 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i97(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i97_C1l,const enumeration L4ieee_Q15std_logic_arith_Y7op_plus_i97_C1r)1341 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i97(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i97_C1l,const enumeration L4ieee_Q15std_logic_arith_Y7op_plus_i97_C1r)
1342 {
1343     integer L4ieee_Q15std_logic_arith_Y7op_plus_i97_C6length;
1344     L4ieee_Q15std_logic_arith_Y7op_plus_i97_C6length=L4ieee_Q15std_logic_arith_Y7op_plus_i97_C1l.info->length;
1345     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_plus_i97_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i97_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i400 (L4ieee_Q15std_logic_arith_Y7op_plus_i97_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i97_C6length))));
1346 }
1347 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i100(const enumeration L4ieee_Q15std_logic_arith_Y7op_plus_i100_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i100_C1r)1348 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i100(const enumeration L4ieee_Q15std_logic_arith_Y7op_plus_i100_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i100_C1r)
1349 {
1350     integer L4ieee_Q15std_logic_arith_Y7op_plus_i100_C6length;
1351     L4ieee_Q15std_logic_arith_Y7op_plus_i100_C6length=L4ieee_Q15std_logic_arith_Y7op_plus_i100_C1r.info->length;
1352     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y4plus_i164 (L4ieee_Q15std_logic_arith_Y11conv_signed_i400 (L4ieee_Q15std_logic_arith_Y7op_plus_i100_C1l,L4ieee_Q15std_logic_arith_Y7op_plus_i100_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y7op_plus_i100_C1r,L4ieee_Q15std_logic_arith_Y7op_plus_i100_C6length))));
1353 }
1354 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i103(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y8op_minus_i103_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y8op_minus_i103_C1r)1355 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y8op_minus_i103(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y8op_minus_i103_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y8op_minus_i103_C1r)
1356 {
1357     integer L4ieee_Q15std_logic_arith_Y8op_minus_i103_C6length;
1358     L4ieee_Q15std_logic_arith_Y8op_minus_i103_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y8op_minus_i103_C1l.info->length,L4ieee_Q15std_logic_arith_Y8op_minus_i103_C1r.info->length);
1359     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_W15std_logic_arith_Y14unsigned_minus_i174 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y8op_minus_i103_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i103_C6length),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y8op_minus_i103_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i103_C6length)));
1360 }
1361 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i106(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i106_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i106_C1r)1362 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i106(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i106_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i106_C1r)
1363 {
1364     integer L4ieee_Q15std_logic_arith_Y8op_minus_i106_C6length;
1365     L4ieee_Q15std_logic_arith_Y8op_minus_i106_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y8op_minus_i106_C1l.info->length,L4ieee_Q15std_logic_arith_Y8op_minus_i106_C1r.info->length);
1366     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y8op_minus_i106_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i106_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y8op_minus_i106_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i106_C6length)));
1367 }
1368 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i109(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y8op_minus_i109_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i109_C1r)1369 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i109(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y8op_minus_i109_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i109_C1r)
1370 {
1371     integer L4ieee_Q15std_logic_arith_Y8op_minus_i109_C6length;
1372     L4ieee_Q15std_logic_arith_Y8op_minus_i109_C6length=L4ieee_W15std_logic_arith_Y3max_i8 ((L4ieee_Q15std_logic_arith_Y8op_minus_i109_C1l.info->length+1),L4ieee_Q15std_logic_arith_Y8op_minus_i109_C1r.info->length);
1373     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y8op_minus_i109_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i109_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y8op_minus_i109_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i109_C6length)));
1374 }
1375 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i112(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i112_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y8op_minus_i112_C1r)1376 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i112(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i112_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y8op_minus_i112_C1r)
1377 {
1378     integer L4ieee_Q15std_logic_arith_Y8op_minus_i112_C6length;
1379     L4ieee_Q15std_logic_arith_Y8op_minus_i112_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y8op_minus_i112_C1l.info->length,(L4ieee_Q15std_logic_arith_Y8op_minus_i112_C1r.info->length+1));
1380     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y8op_minus_i112_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i112_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y8op_minus_i112_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i112_C6length)));
1381 }
1382 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i115(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y8op_minus_i115_C1l,const integer L4ieee_Q15std_logic_arith_Y8op_minus_i115_C1r)1383 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y8op_minus_i115(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y8op_minus_i115_C1l,const integer L4ieee_Q15std_logic_arith_Y8op_minus_i115_C1r)
1384 {
1385     integer L4ieee_Q15std_logic_arith_Y8op_minus_i115_C6length;
1386     L4ieee_Q15std_logic_arith_Y8op_minus_i115_C6length=(L4ieee_Q15std_logic_arith_Y8op_minus_i115_C1l.info->length+1);
1387     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385 (L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y8op_minus_i115_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i115_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y8op_minus_i115_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i115_C6length)),(L4ieee_Q15std_logic_arith_Y8op_minus_i115_C6length-1)));
1388 }
1389 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i118(const integer L4ieee_Q15std_logic_arith_Y8op_minus_i118_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y8op_minus_i118_C1r)1390 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y8op_minus_i118(const integer L4ieee_Q15std_logic_arith_Y8op_minus_i118_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y8op_minus_i118_C1r)
1391 {
1392     integer L4ieee_Q15std_logic_arith_Y8op_minus_i118_C6length;
1393     L4ieee_Q15std_logic_arith_Y8op_minus_i118_C6length=(L4ieee_Q15std_logic_arith_Y8op_minus_i118_C1r.info->length+1);
1394     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385 (L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y8op_minus_i118_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i118_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y8op_minus_i118_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i118_C6length)),(L4ieee_Q15std_logic_arith_Y8op_minus_i118_C6length-1)));
1395 }
1396 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i121(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i121_C1l,const integer L4ieee_Q15std_logic_arith_Y8op_minus_i121_C1r)1397 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i121(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i121_C1l,const integer L4ieee_Q15std_logic_arith_Y8op_minus_i121_C1r)
1398 {
1399     integer L4ieee_Q15std_logic_arith_Y8op_minus_i121_C6length;
1400     L4ieee_Q15std_logic_arith_Y8op_minus_i121_C6length=L4ieee_Q15std_logic_arith_Y8op_minus_i121_C1l.info->length;
1401     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y8op_minus_i121_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i121_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y8op_minus_i121_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i121_C6length)));
1402 }
1403 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i124(const integer L4ieee_Q15std_logic_arith_Y8op_minus_i124_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i124_C1r)1404 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i124(const integer L4ieee_Q15std_logic_arith_Y8op_minus_i124_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i124_C1r)
1405 {
1406     integer L4ieee_Q15std_logic_arith_Y8op_minus_i124_C6length;
1407     L4ieee_Q15std_logic_arith_Y8op_minus_i124_C6length=L4ieee_Q15std_logic_arith_Y8op_minus_i124_C1r.info->length;
1408     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y8op_minus_i124_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i124_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y8op_minus_i124_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i124_C6length)));
1409 }
1410 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i127(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y8op_minus_i127_C1l,const enumeration L4ieee_Q15std_logic_arith_Y8op_minus_i127_C1r)1411 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y8op_minus_i127(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y8op_minus_i127_C1l,const enumeration L4ieee_Q15std_logic_arith_Y8op_minus_i127_C1r)
1412 {
1413     integer L4ieee_Q15std_logic_arith_Y8op_minus_i127_C6length;
1414     L4ieee_Q15std_logic_arith_Y8op_minus_i127_C6length=(L4ieee_Q15std_logic_arith_Y8op_minus_i127_C1l.info->length+1);
1415     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385 (L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y8op_minus_i127_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i127_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i400 (L4ieee_Q15std_logic_arith_Y8op_minus_i127_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i127_C6length)),(L4ieee_Q15std_logic_arith_Y8op_minus_i127_C6length-1)));
1416 }
1417 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i130(const enumeration L4ieee_Q15std_logic_arith_Y8op_minus_i130_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y8op_minus_i130_C1r)1418 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y8op_minus_i130(const enumeration L4ieee_Q15std_logic_arith_Y8op_minus_i130_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y8op_minus_i130_C1r)
1419 {
1420     integer L4ieee_Q15std_logic_arith_Y8op_minus_i130_C6length;
1421     L4ieee_Q15std_logic_arith_Y8op_minus_i130_C6length=(L4ieee_Q15std_logic_arith_Y8op_minus_i130_C1r.info->length+1);
1422     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385 (L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i400 (L4ieee_Q15std_logic_arith_Y8op_minus_i130_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i130_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y8op_minus_i130_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i130_C6length)),(L4ieee_Q15std_logic_arith_Y8op_minus_i130_C6length-1)));
1423 }
1424 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i133(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i133_C1l,const enumeration L4ieee_Q15std_logic_arith_Y8op_minus_i133_C1r)1425 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i133(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i133_C1l,const enumeration L4ieee_Q15std_logic_arith_Y8op_minus_i133_C1r)
1426 {
1427     integer L4ieee_Q15std_logic_arith_Y8op_minus_i133_C6length;
1428     L4ieee_Q15std_logic_arith_Y8op_minus_i133_C6length=L4ieee_Q15std_logic_arith_Y8op_minus_i133_C1l.info->length;
1429     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y8op_minus_i133_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i133_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i400 (L4ieee_Q15std_logic_arith_Y8op_minus_i133_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i133_C6length)));
1430 }
1431 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i136(const enumeration L4ieee_Q15std_logic_arith_Y8op_minus_i136_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i136_C1r)1432 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i136(const enumeration L4ieee_Q15std_logic_arith_Y8op_minus_i136_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i136_C1r)
1433 {
1434     integer L4ieee_Q15std_logic_arith_Y8op_minus_i136_C6length;
1435     L4ieee_Q15std_logic_arith_Y8op_minus_i136_C6length=L4ieee_Q15std_logic_arith_Y8op_minus_i136_C1r.info->length;
1436     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i400 (L4ieee_Q15std_logic_arith_Y8op_minus_i136_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i136_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y8op_minus_i136_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i136_C6length)));
1437 }
1438 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i139(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y8op_minus_i139_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y8op_minus_i139_C1r)1439 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i139(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y8op_minus_i139_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y8op_minus_i139_C1r)
1440 {
1441     integer L4ieee_Q15std_logic_arith_Y8op_minus_i139_C6length;
1442     L4ieee_Q15std_logic_arith_Y8op_minus_i139_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y8op_minus_i139_C1l.info->length,L4ieee_Q15std_logic_arith_Y8op_minus_i139_C1r.info->length);
1443     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y14unsigned_minus_i174 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y8op_minus_i139_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i139_C6length),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y8op_minus_i139_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i139_C6length))));
1444 }
1445 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i142(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i142_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i142_C1r)1446 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i142(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i142_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i142_C1r)
1447 {
1448     integer L4ieee_Q15std_logic_arith_Y8op_minus_i142_C6length;
1449     L4ieee_Q15std_logic_arith_Y8op_minus_i142_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y8op_minus_i142_C1l.info->length,L4ieee_Q15std_logic_arith_Y8op_minus_i142_C1r.info->length);
1450     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y8op_minus_i142_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i142_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y8op_minus_i142_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i142_C6length))));
1451 }
1452 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i145(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y8op_minus_i145_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i145_C1r)1453 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i145(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y8op_minus_i145_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i145_C1r)
1454 {
1455     integer L4ieee_Q15std_logic_arith_Y8op_minus_i145_C6length;
1456     L4ieee_Q15std_logic_arith_Y8op_minus_i145_C6length=L4ieee_W15std_logic_arith_Y3max_i8 ((L4ieee_Q15std_logic_arith_Y8op_minus_i145_C1l.info->length+1),L4ieee_Q15std_logic_arith_Y8op_minus_i145_C1r.info->length);
1457     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y8op_minus_i145_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i145_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y8op_minus_i145_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i145_C6length))));
1458 }
1459 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i148(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i148_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y8op_minus_i148_C1r)1460 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i148(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i148_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y8op_minus_i148_C1r)
1461 {
1462     integer L4ieee_Q15std_logic_arith_Y8op_minus_i148_C6length;
1463     L4ieee_Q15std_logic_arith_Y8op_minus_i148_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y8op_minus_i148_C1l.info->length,(L4ieee_Q15std_logic_arith_Y8op_minus_i148_C1r.info->length+1));
1464     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y8op_minus_i148_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i148_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y8op_minus_i148_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i148_C6length))));
1465 }
1466 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i151(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y8op_minus_i151_C1l,const integer L4ieee_Q15std_logic_arith_Y8op_minus_i151_C1r)1467 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i151(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y8op_minus_i151_C1l,const integer L4ieee_Q15std_logic_arith_Y8op_minus_i151_C1r)
1468 {
1469     integer L4ieee_Q15std_logic_arith_Y8op_minus_i151_C6length;
1470     L4ieee_Q15std_logic_arith_Y8op_minus_i151_C6length=(L4ieee_Q15std_logic_arith_Y8op_minus_i151_C1l.info->length+1);
1471     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385 (L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y8op_minus_i151_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i151_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y8op_minus_i151_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i151_C6length)),(L4ieee_Q15std_logic_arith_Y8op_minus_i151_C6length-1))));
1472 }
1473 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i154(const integer L4ieee_Q15std_logic_arith_Y8op_minus_i154_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y8op_minus_i154_C1r)1474 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i154(const integer L4ieee_Q15std_logic_arith_Y8op_minus_i154_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y8op_minus_i154_C1r)
1475 {
1476     integer L4ieee_Q15std_logic_arith_Y8op_minus_i154_C6length;
1477     L4ieee_Q15std_logic_arith_Y8op_minus_i154_C6length=(L4ieee_Q15std_logic_arith_Y8op_minus_i154_C1r.info->length+1);
1478     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385 (L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y8op_minus_i154_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i154_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y8op_minus_i154_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i154_C6length)),(L4ieee_Q15std_logic_arith_Y8op_minus_i154_C6length-1))));
1479 }
1480 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i157(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i157_C1l,const integer L4ieee_Q15std_logic_arith_Y8op_minus_i157_C1r)1481 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i157(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i157_C1l,const integer L4ieee_Q15std_logic_arith_Y8op_minus_i157_C1r)
1482 {
1483     integer L4ieee_Q15std_logic_arith_Y8op_minus_i157_C6length;
1484     L4ieee_Q15std_logic_arith_Y8op_minus_i157_C6length=L4ieee_Q15std_logic_arith_Y8op_minus_i157_C1l.info->length;
1485     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y8op_minus_i157_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i157_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y8op_minus_i157_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i157_C6length))));
1486 }
1487 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i160(const integer L4ieee_Q15std_logic_arith_Y8op_minus_i160_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i160_C1r)1488 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i160(const integer L4ieee_Q15std_logic_arith_Y8op_minus_i160_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i160_C1r)
1489 {
1490     integer L4ieee_Q15std_logic_arith_Y8op_minus_i160_C6length;
1491     L4ieee_Q15std_logic_arith_Y8op_minus_i160_C6length=L4ieee_Q15std_logic_arith_Y8op_minus_i160_C1r.info->length;
1492     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y8op_minus_i160_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i160_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y8op_minus_i160_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i160_C6length))));
1493 }
1494 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i163(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y8op_minus_i163_C1l,const enumeration L4ieee_Q15std_logic_arith_Y8op_minus_i163_C1r)1495 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i163(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y8op_minus_i163_C1l,const enumeration L4ieee_Q15std_logic_arith_Y8op_minus_i163_C1r)
1496 {
1497     integer L4ieee_Q15std_logic_arith_Y8op_minus_i163_C6length;
1498     L4ieee_Q15std_logic_arith_Y8op_minus_i163_C6length=(L4ieee_Q15std_logic_arith_Y8op_minus_i163_C1l.info->length+1);
1499     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385 (L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y8op_minus_i163_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i163_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i400 (L4ieee_Q15std_logic_arith_Y8op_minus_i163_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i163_C6length)),(L4ieee_Q15std_logic_arith_Y8op_minus_i163_C6length-1))));
1500 }
1501 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i166(const enumeration L4ieee_Q15std_logic_arith_Y8op_minus_i166_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y8op_minus_i166_C1r)1502 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i166(const enumeration L4ieee_Q15std_logic_arith_Y8op_minus_i166_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y8op_minus_i166_C1r)
1503 {
1504     integer L4ieee_Q15std_logic_arith_Y8op_minus_i166_C6length;
1505     L4ieee_Q15std_logic_arith_Y8op_minus_i166_C6length=(L4ieee_Q15std_logic_arith_Y8op_minus_i166_C1r.info->length+1);
1506     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385 (L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i400 (L4ieee_Q15std_logic_arith_Y8op_minus_i166_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i166_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y8op_minus_i166_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i166_C6length)),(L4ieee_Q15std_logic_arith_Y8op_minus_i166_C6length-1))));
1507 }
1508 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i169(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i169_C1l,const enumeration L4ieee_Q15std_logic_arith_Y8op_minus_i169_C1r)1509 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i169(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i169_C1l,const enumeration L4ieee_Q15std_logic_arith_Y8op_minus_i169_C1r)
1510 {
1511     integer L4ieee_Q15std_logic_arith_Y8op_minus_i169_C6length;
1512     L4ieee_Q15std_logic_arith_Y8op_minus_i169_C6length=L4ieee_Q15std_logic_arith_Y8op_minus_i169_C1l.info->length;
1513     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y8op_minus_i169_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i169_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i400 (L4ieee_Q15std_logic_arith_Y8op_minus_i169_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i169_C6length))));
1514 }
1515 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i172(const enumeration L4ieee_Q15std_logic_arith_Y8op_minus_i172_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i172_C1r)1516 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i172(const enumeration L4ieee_Q15std_logic_arith_Y8op_minus_i172_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i172_C1r)
1517 {
1518     integer L4ieee_Q15std_logic_arith_Y8op_minus_i172_C6length;
1519     L4ieee_Q15std_logic_arith_Y8op_minus_i172_C6length=L4ieee_Q15std_logic_arith_Y8op_minus_i172_C1r.info->length;
1520     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_W15std_logic_arith_Y5minus_i154 (L4ieee_Q15std_logic_arith_Y11conv_signed_i400 (L4ieee_Q15std_logic_arith_Y8op_minus_i172_C1l,L4ieee_Q15std_logic_arith_Y8op_minus_i172_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y8op_minus_i172_C1r,L4ieee_Q15std_logic_arith_Y8op_minus_i172_C6length))));
1521 }
1522 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i174(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i174_C1l)1523 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y7op_plus_i174(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i174_C1l)
1524 {
1525     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_Q15std_logic_arith_Y7op_plus_i174_C1l);
1526 }
1527 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i176(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i176_C1l)1528 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y7op_plus_i176(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i176_C1l)
1529 {
1530     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_Q15std_logic_arith_Y7op_plus_i176_C1l);
1531 }
1532 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i178(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i178_C1l)1533 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i178(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i178_C1l)
1534 {
1535     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_Q15std_logic_arith_Y8op_minus_i124 (0,L4ieee_Q15std_logic_arith_Y8op_minus_i178_C1l));
1536 }
1537 /* Implementation of subprogram :ieee:std_logic_arith:"abs" */
L4ieee_Q15std_logic_arith_Y0_i180(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y0_i180_C1l)1538 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y0_i180(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y0_i180_C1l)
1539 {
1540     L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y0_i180_V6result;
1541     L4ieee_Q15std_logic_arith_Y0_i180_V6result.init((new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y0_i180_C1l.info->length-1),downto,0,0)),enumeration(0));
1542     if(((L4ieee_Q15std_logic_arith_Y0_i180_C1l[L4ieee_Q15std_logic_arith_Y0_i180_C1l.info->left_bound]==enumeration(2))||(L4ieee_Q15std_logic_arith_Y0_i180_C1l[L4ieee_Q15std_logic_arith_Y0_i180_C1l.info->left_bound]==enumeration(6)))) {
1543       L4ieee_Q15std_logic_arith_Y0_i180_V6result=L4ieee_Q15std_logic_arith_Y0_i180_C1l;
1544     } else {
1545       L4ieee_Q15std_logic_arith_Y0_i180_V6result=L4ieee_Q15std_logic_arith_Y8op_minus_i124 (0,L4ieee_Q15std_logic_arith_Y0_i180_C1l);
1546     }
1547     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_Q15std_logic_arith_Y0_i180_V6result);
1548 }
1549 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i182(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y7op_plus_i182_C1l)1550 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i182(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y7op_plus_i182_C1l)
1551 {
1552     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q15std_logic_arith_Y7op_plus_i182_C1l));
1553 }
1554 /* Implementation of subprogram :ieee:std_logic_arith:"+" */
L4ieee_Q15std_logic_arith_Y7op_plus_i184(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y7op_plus_i184_C1l)1555 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y7op_plus_i184(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y7op_plus_i184_C1l)
1556 {
1557     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q15std_logic_arith_Y7op_plus_i184_C1l));
1558 }
1559 /* Implementation of subprogram :ieee:std_logic_arith:"-" */
L4ieee_Q15std_logic_arith_Y8op_minus_i186(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y8op_minus_i186_C1l)1560 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y8op_minus_i186(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y8op_minus_i186_C1l)
1561 {
1562     L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y8op_minus_i186_V3tmp;
1563     L4ieee_Q15std_logic_arith_Y8op_minus_i186_V3tmp.init((new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y8op_minus_i186_C1l.info->length-1),downto,0,0)),enumeration(0));
1564     L4ieee_Q15std_logic_arith_Y8op_minus_i186_V3tmp=L4ieee_Q15std_logic_arith_Y8op_minus_i124 (0,L4ieee_Q15std_logic_arith_Y8op_minus_i186_C1l);
1565     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q15std_logic_arith_Y8op_minus_i186_V3tmp));
1566 }
1567 /* Implementation of subprogram :ieee:std_logic_arith:"abs" */
L4ieee_Q15std_logic_arith_Y0_i188(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y0_i188_C1l)1568 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y0_i188(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y0_i188_C1l)
1569 {
1570     L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y0_i188_V3tmp;
1571     L4ieee_Q15std_logic_arith_Y0_i188_V3tmp.init((new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y0_i188_C1l.info->length-1),downto,0,0)),enumeration(0));
1572     if(((L4ieee_Q15std_logic_arith_Y0_i188_C1l[L4ieee_Q15std_logic_arith_Y0_i188_C1l.info->left_bound]==enumeration(2))||(L4ieee_Q15std_logic_arith_Y0_i188_C1l[L4ieee_Q15std_logic_arith_Y0_i188_C1l.info->left_bound]==enumeration(6)))) {
1573       L4ieee_Q15std_logic_arith_Y0_i188_V3tmp=L4ieee_Q15std_logic_arith_Y0_i188_C1l;
1574     } else {
1575       L4ieee_Q15std_logic_arith_Y0_i188_V3tmp=L4ieee_Q15std_logic_arith_Y8op_minus_i124 (0,L4ieee_Q15std_logic_arith_Y0_i188_C1l);
1576     }
1577     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q15std_logic_arith_Y0_i188_V3tmp));
1578 }
1579 /* Implementation of subprogram :ieee:std_logic_arith:unsigned_return_boolean */
L4ieee_W15std_logic_arith_Y23unsigned_return_boolean_i429(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y23unsigned_return_boolean_i429_C1a,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y23unsigned_return_boolean_i429_C1b)1580 enumeration L4ieee_W15std_logic_arith_Y23unsigned_return_boolean_i429(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y23unsigned_return_boolean_i429_C1a,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y23unsigned_return_boolean_i429_C1b)
1581 {
1582     enumeration L4ieee_W15std_logic_arith_Y23unsigned_return_boolean_i429_V1z;
1583     L4ieee_W15std_logic_arith_Y23unsigned_return_boolean_i429_V1z=enumeration(0);
1584     return L4ieee_W15std_logic_arith_Y23unsigned_return_boolean_i429_V1z;
1585 }
1586 /* Implementation of subprogram :ieee:std_logic_arith:signed_return_boolean */
L4ieee_W15std_logic_arith_Y21signed_return_boolean_i433(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y21signed_return_boolean_i433_C1a,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y21signed_return_boolean_i433_C1b)1587 enumeration L4ieee_W15std_logic_arith_Y21signed_return_boolean_i433(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y21signed_return_boolean_i433_C1a,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y21signed_return_boolean_i433_C1b)
1588 {
1589     enumeration L4ieee_W15std_logic_arith_Y21signed_return_boolean_i433_V1z;
1590     L4ieee_W15std_logic_arith_Y21signed_return_boolean_i433_V1z=enumeration(0);
1591     return L4ieee_W15std_logic_arith_Y21signed_return_boolean_i433_V1z;
1592 }
1593 /* Implementation of subprogram :ieee:std_logic_arith:is_less */
L4ieee_W15std_logic_arith_Y7is_less_i437(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y7is_less_i437_C1a,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y7is_less_i437_C1b)1594 enumeration L4ieee_W15std_logic_arith_Y7is_less_i437(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y7is_less_i437_C1a,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y7is_less_i437_C1b)
1595 {
1596     integer L4ieee_W15std_logic_arith_Y7is_less_i437_C4sign;
1597     enumeration L4ieee_W15std_logic_arith_Y7is_less_i437_V6result,L4ieee_W15std_logic_arith_Y7is_less_i437_V6b_is_1,L4ieee_W15std_logic_arith_Y7is_less_i437_V6a_is_0;
1598     integer L4ieee_W15std_logic_arith_Y7is_less_i437_L5442ln_C1i,L4ieee_W15std_logic_arith_Y7is_less_i437_L5442ln_C1i_lc;
1599     L4ieee_W15std_logic_arith_Y7is_less_i437_C4sign=L4ieee_W15std_logic_arith_Y7is_less_i437_C1a.info->left_bound;
1600     L4ieee_W15std_logic_arith_Y7is_less_i437_V6result=enumeration(0);
1601     L4ieee_W15std_logic_arith_Y7is_less_i437_V6b_is_1=enumeration(0);
1602     L4ieee_W15std_logic_arith_Y7is_less_i437_V6a_is_0=enumeration(0);
1603     if((L4ieee_W15std_logic_arith_Y7is_less_i437_C1a[L4ieee_W15std_logic_arith_Y7is_less_i437_C4sign]!=L4ieee_W15std_logic_arith_Y7is_less_i437_C1b[L4ieee_W15std_logic_arith_Y7is_less_i437_C4sign])) {
1604       L4ieee_W15std_logic_arith_Y7is_less_i437_V6result=(L4ieee_W15std_logic_arith_Y7is_less_i437_C1a[L4ieee_W15std_logic_arith_Y7is_less_i437_C4sign]==enumeration(3));
1605     } else {
1606       L4ieee_W15std_logic_arith_Y7is_less_i437_V6result=enumeration(0);
1607       for (L4ieee_W15std_logic_arith_Y7is_less_i437_L5442ln_C1i=0,L4ieee_W15std_logic_arith_Y7is_less_i437_L5442ln_C1i_lc=up_range_to_length<integer>(0,(L4ieee_W15std_logic_arith_Y7is_less_i437_C4sign-1)); L4ieee_W15std_logic_arith_Y7is_less_i437_L5442ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y7is_less_i437_L5442ln_C1i++,L4ieee_W15std_logic_arith_Y7is_less_i437_L5442ln_C1i_lc--) {
1608         L4ieee_W15std_logic_arith_Y7is_less_i437_V6a_is_0=(L4ieee_W15std_logic_arith_Y7is_less_i437_C1a[L4ieee_W15std_logic_arith_Y7is_less_i437_L5442ln_C1i]==enumeration(2));
1609         L4ieee_W15std_logic_arith_Y7is_less_i437_V6b_is_1=(L4ieee_W15std_logic_arith_Y7is_less_i437_C1b[L4ieee_W15std_logic_arith_Y7is_less_i437_L5442ln_C1i]==enumeration(3));
1610         L4ieee_W15std_logic_arith_Y7is_less_i437_V6result=(((L4ieee_W15std_logic_arith_Y7is_less_i437_V6a_is_0&&L4ieee_W15std_logic_arith_Y7is_less_i437_V6b_is_1)||(L4ieee_W15std_logic_arith_Y7is_less_i437_V6a_is_0&&L4ieee_W15std_logic_arith_Y7is_less_i437_V6result))||(L4ieee_W15std_logic_arith_Y7is_less_i437_V6b_is_1&&L4ieee_W15std_logic_arith_Y7is_less_i437_V6result));
1611       }
1612     }
1613     return L4ieee_W15std_logic_arith_Y7is_less_i437_V6result;
1614 }
1615 /* Implementation of subprogram :ieee:std_logic_arith:is_less_or_equal */
L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_C1a,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_C1b)1616 enumeration L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_C1a,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_C1b)
1617 {
1618     integer L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_C4sign;
1619     enumeration L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6result,L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6b_is_1,L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6a_is_0;
1620     integer L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_L5451ln_C1i,L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_L5451ln_C1i_lc;
1621     L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_C4sign=L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_C1a.info->left_bound;
1622     L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6result=enumeration(0);
1623     L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6b_is_1=enumeration(0);
1624     L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6a_is_0=enumeration(0);
1625     if((L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_C1a[L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_C4sign]!=L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_C1b[L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_C4sign])) {
1626       L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6result=(L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_C1a[L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_C4sign]==enumeration(3));
1627     } else {
1628       L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6result=enumeration(1);
1629       for (L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_L5451ln_C1i=0,L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_L5451ln_C1i_lc=up_range_to_length<integer>(0,(L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_C4sign-1)); L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_L5451ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_L5451ln_C1i++,L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_L5451ln_C1i_lc--) {
1630         L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6a_is_0=(L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_C1a[L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_L5451ln_C1i]==enumeration(2));
1631         L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6b_is_1=(L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_C1b[L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_L5451ln_C1i]==enumeration(3));
1632         L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6result=(((L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6a_is_0&&L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6b_is_1)||(L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6a_is_0&&L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6result))||(L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6b_is_1&&L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6result));
1633       }
1634     }
1635     return L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446_V6result;
1636 }
1637 /* Implementation of subprogram :ieee:std_logic_arith:unsigned_is_less */
L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_C1a,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_C1b)1638 enumeration L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_C1a,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_C1b)
1639 {
1640     integer L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_C4sign;
1641     enumeration L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_V6result,L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_V6b_is_1,L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_V6a_is_0;
1642     integer L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_L5460ln_C1i,L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_L5460ln_C1i_lc;
1643     L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_C4sign=L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_C1a.info->left_bound;
1644     L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_V6result=enumeration(0);
1645     L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_V6b_is_1=enumeration(0);
1646     L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_V6a_is_0=enumeration(0);
1647     L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_V6result=enumeration(0);
1648     for (L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_L5460ln_C1i=0,L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_L5460ln_C1i_lc=up_range_to_length<integer>(0,L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_C4sign); L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_L5460ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_L5460ln_C1i++,L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_L5460ln_C1i_lc--) {
1649       L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_V6a_is_0=(L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_C1a[L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_L5460ln_C1i]==enumeration(2));
1650       L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_V6b_is_1=(L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_C1b[L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_L5460ln_C1i]==enumeration(3));
1651       L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_V6result=(((L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_V6a_is_0&&L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_V6b_is_1)||(L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_V6a_is_0&&L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_V6result))||(L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_V6b_is_1&&L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_V6result));
1652     }
1653     return L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455_V6result;
1654 }
1655 /* Implementation of subprogram :ieee:std_logic_arith:unsigned_is_less_or_equal */
L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_C1a,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_C1b)1656 enumeration L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_C1a,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_C1b)
1657 {
1658     integer L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_C4sign;
1659     enumeration L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_V6result,L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_V6b_is_1,L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_V6a_is_0;
1660     integer L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_L5469ln_C1i,L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_L5469ln_C1i_lc;
1661     L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_C4sign=L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_C1a.info->left_bound;
1662     L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_V6result=enumeration(0);
1663     L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_V6b_is_1=enumeration(0);
1664     L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_V6a_is_0=enumeration(0);
1665     L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_V6result=enumeration(1);
1666     for (L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_L5469ln_C1i=0,L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_L5469ln_C1i_lc=up_range_to_length<integer>(0,L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_C4sign); L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_L5469ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_L5469ln_C1i++,L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_L5469ln_C1i_lc--) {
1667       L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_V6a_is_0=(L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_C1a[L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_L5469ln_C1i]==enumeration(2));
1668       L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_V6b_is_1=(L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_C1b[L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_L5469ln_C1i]==enumeration(3));
1669       L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_V6result=(((L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_V6a_is_0&&L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_V6b_is_1)||(L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_V6a_is_0&&L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_V6result))||(L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_V6b_is_1&&L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_V6result));
1670     }
1671     return L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464_V6result;
1672 }
1673 /* Implementation of subprogram :ieee:std_logic_arith:"<" */
L4ieee_Q15std_logic_arith_Y5op_lt_i215(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_lt_i215_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_lt_i215_C1r)1674 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i215(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_lt_i215_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_lt_i215_C1r)
1675 {
1676     integer L4ieee_Q15std_logic_arith_Y5op_lt_i215_C6length;
1677     L4ieee_Q15std_logic_arith_Y5op_lt_i215_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_lt_i215_C1l.info->length,L4ieee_Q15std_logic_arith_Y5op_lt_i215_C1r.info->length);
1678     return L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y5op_lt_i215_C1l,L4ieee_Q15std_logic_arith_Y5op_lt_i215_C6length),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y5op_lt_i215_C1r,L4ieee_Q15std_logic_arith_Y5op_lt_i215_C6length));
1679 }
1680 /* Implementation of subprogram :ieee:std_logic_arith:"<" */
L4ieee_Q15std_logic_arith_Y5op_lt_i218(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_lt_i218_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_lt_i218_C1r)1681 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i218(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_lt_i218_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_lt_i218_C1r)
1682 {
1683     integer L4ieee_Q15std_logic_arith_Y5op_lt_i218_C6length;
1684     L4ieee_Q15std_logic_arith_Y5op_lt_i218_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_lt_i218_C1l.info->length,L4ieee_Q15std_logic_arith_Y5op_lt_i218_C1r.info->length);
1685     return L4ieee_W15std_logic_arith_Y7is_less_i437 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_lt_i218_C1l,L4ieee_Q15std_logic_arith_Y5op_lt_i218_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_lt_i218_C1r,L4ieee_Q15std_logic_arith_Y5op_lt_i218_C6length));
1686 }
1687 /* Implementation of subprogram :ieee:std_logic_arith:"<" */
L4ieee_Q15std_logic_arith_Y5op_lt_i221(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_lt_i221_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_lt_i221_C1r)1688 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i221(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_lt_i221_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_lt_i221_C1r)
1689 {
1690     integer L4ieee_Q15std_logic_arith_Y5op_lt_i221_C6length;
1691     L4ieee_Q15std_logic_arith_Y5op_lt_i221_C6length=L4ieee_W15std_logic_arith_Y3max_i8 ((L4ieee_Q15std_logic_arith_Y5op_lt_i221_C1l.info->length+1),L4ieee_Q15std_logic_arith_Y5op_lt_i221_C1r.info->length);
1692     return L4ieee_W15std_logic_arith_Y7is_less_i437 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_lt_i221_C1l,L4ieee_Q15std_logic_arith_Y5op_lt_i221_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_lt_i221_C1r,L4ieee_Q15std_logic_arith_Y5op_lt_i221_C6length));
1693 }
1694 /* Implementation of subprogram :ieee:std_logic_arith:"<" */
L4ieee_Q15std_logic_arith_Y5op_lt_i224(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_lt_i224_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_lt_i224_C1r)1695 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i224(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_lt_i224_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_lt_i224_C1r)
1696 {
1697     integer L4ieee_Q15std_logic_arith_Y5op_lt_i224_C6length;
1698     L4ieee_Q15std_logic_arith_Y5op_lt_i224_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_lt_i224_C1l.info->length,(L4ieee_Q15std_logic_arith_Y5op_lt_i224_C1r.info->length+1));
1699     return L4ieee_W15std_logic_arith_Y7is_less_i437 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_lt_i224_C1l,L4ieee_Q15std_logic_arith_Y5op_lt_i224_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_lt_i224_C1r,L4ieee_Q15std_logic_arith_Y5op_lt_i224_C6length));
1700 }
1701 /* Implementation of subprogram :ieee:std_logic_arith:"<" */
L4ieee_Q15std_logic_arith_Y5op_lt_i227(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_lt_i227_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_lt_i227_C1r)1702 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i227(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_lt_i227_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_lt_i227_C1r)
1703 {
1704     integer L4ieee_Q15std_logic_arith_Y5op_lt_i227_C6length;
1705     L4ieee_Q15std_logic_arith_Y5op_lt_i227_C6length=(L4ieee_Q15std_logic_arith_Y5op_lt_i227_C1l.info->length+1);
1706     return L4ieee_W15std_logic_arith_Y7is_less_i437 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_lt_i227_C1l,L4ieee_Q15std_logic_arith_Y5op_lt_i227_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_lt_i227_C1r,L4ieee_Q15std_logic_arith_Y5op_lt_i227_C6length));
1707 }
1708 /* Implementation of subprogram :ieee:std_logic_arith:"<" */
L4ieee_Q15std_logic_arith_Y5op_lt_i230(const integer L4ieee_Q15std_logic_arith_Y5op_lt_i230_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_lt_i230_C1r)1709 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i230(const integer L4ieee_Q15std_logic_arith_Y5op_lt_i230_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_lt_i230_C1r)
1710 {
1711     integer L4ieee_Q15std_logic_arith_Y5op_lt_i230_C6length;
1712     L4ieee_Q15std_logic_arith_Y5op_lt_i230_C6length=(L4ieee_Q15std_logic_arith_Y5op_lt_i230_C1r.info->length+1);
1713     return L4ieee_W15std_logic_arith_Y7is_less_i437 (L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_lt_i230_C1l,L4ieee_Q15std_logic_arith_Y5op_lt_i230_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_lt_i230_C1r,L4ieee_Q15std_logic_arith_Y5op_lt_i230_C6length));
1714 }
1715 /* Implementation of subprogram :ieee:std_logic_arith:"<" */
L4ieee_Q15std_logic_arith_Y5op_lt_i233(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_lt_i233_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_lt_i233_C1r)1716 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i233(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_lt_i233_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_lt_i233_C1r)
1717 {
1718     integer L4ieee_Q15std_logic_arith_Y5op_lt_i233_C6length;
1719     L4ieee_Q15std_logic_arith_Y5op_lt_i233_C6length=L4ieee_Q15std_logic_arith_Y5op_lt_i233_C1l.info->length;
1720     return L4ieee_W15std_logic_arith_Y7is_less_i437 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_lt_i233_C1l,L4ieee_Q15std_logic_arith_Y5op_lt_i233_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_lt_i233_C1r,L4ieee_Q15std_logic_arith_Y5op_lt_i233_C6length));
1721 }
1722 /* Implementation of subprogram :ieee:std_logic_arith:"<" */
L4ieee_Q15std_logic_arith_Y5op_lt_i236(const integer L4ieee_Q15std_logic_arith_Y5op_lt_i236_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_lt_i236_C1r)1723 enumeration L4ieee_Q15std_logic_arith_Y5op_lt_i236(const integer L4ieee_Q15std_logic_arith_Y5op_lt_i236_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_lt_i236_C1r)
1724 {
1725     integer L4ieee_Q15std_logic_arith_Y5op_lt_i236_C6length;
1726     L4ieee_Q15std_logic_arith_Y5op_lt_i236_C6length=L4ieee_Q15std_logic_arith_Y5op_lt_i236_C1r.info->length;
1727     return L4ieee_W15std_logic_arith_Y7is_less_i437 (L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_lt_i236_C1l,L4ieee_Q15std_logic_arith_Y5op_lt_i236_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_lt_i236_C1r,L4ieee_Q15std_logic_arith_Y5op_lt_i236_C6length));
1728 }
1729 /* Implementation of subprogram :ieee:std_logic_arith:"<=" */
L4ieee_Q15std_logic_arith_Y5op_le_i239(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_le_i239_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_le_i239_C1r)1730 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i239(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_le_i239_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_le_i239_C1r)
1731 {
1732     integer L4ieee_Q15std_logic_arith_Y5op_le_i239_C6length;
1733     L4ieee_Q15std_logic_arith_Y5op_le_i239_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_le_i239_C1l.info->length,L4ieee_Q15std_logic_arith_Y5op_le_i239_C1r.info->length);
1734     return L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y5op_le_i239_C1l,L4ieee_Q15std_logic_arith_Y5op_le_i239_C6length),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y5op_le_i239_C1r,L4ieee_Q15std_logic_arith_Y5op_le_i239_C6length));
1735 }
1736 /* Implementation of subprogram :ieee:std_logic_arith:"<=" */
L4ieee_Q15std_logic_arith_Y5op_le_i242(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_le_i242_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_le_i242_C1r)1737 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i242(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_le_i242_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_le_i242_C1r)
1738 {
1739     integer L4ieee_Q15std_logic_arith_Y5op_le_i242_C6length;
1740     L4ieee_Q15std_logic_arith_Y5op_le_i242_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_le_i242_C1l.info->length,L4ieee_Q15std_logic_arith_Y5op_le_i242_C1r.info->length);
1741     return L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_le_i242_C1l,L4ieee_Q15std_logic_arith_Y5op_le_i242_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_le_i242_C1r,L4ieee_Q15std_logic_arith_Y5op_le_i242_C6length));
1742 }
1743 /* Implementation of subprogram :ieee:std_logic_arith:"<=" */
L4ieee_Q15std_logic_arith_Y5op_le_i245(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_le_i245_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_le_i245_C1r)1744 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i245(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_le_i245_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_le_i245_C1r)
1745 {
1746     integer L4ieee_Q15std_logic_arith_Y5op_le_i245_C6length;
1747     L4ieee_Q15std_logic_arith_Y5op_le_i245_C6length=L4ieee_W15std_logic_arith_Y3max_i8 ((L4ieee_Q15std_logic_arith_Y5op_le_i245_C1l.info->length+1),L4ieee_Q15std_logic_arith_Y5op_le_i245_C1r.info->length);
1748     return L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_le_i245_C1l,L4ieee_Q15std_logic_arith_Y5op_le_i245_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_le_i245_C1r,L4ieee_Q15std_logic_arith_Y5op_le_i245_C6length));
1749 }
1750 /* Implementation of subprogram :ieee:std_logic_arith:"<=" */
L4ieee_Q15std_logic_arith_Y5op_le_i248(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_le_i248_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_le_i248_C1r)1751 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i248(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_le_i248_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_le_i248_C1r)
1752 {
1753     integer L4ieee_Q15std_logic_arith_Y5op_le_i248_C6length;
1754     L4ieee_Q15std_logic_arith_Y5op_le_i248_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_le_i248_C1l.info->length,(L4ieee_Q15std_logic_arith_Y5op_le_i248_C1r.info->length+1));
1755     return L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_le_i248_C1l,L4ieee_Q15std_logic_arith_Y5op_le_i248_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_le_i248_C1r,L4ieee_Q15std_logic_arith_Y5op_le_i248_C6length));
1756 }
1757 /* Implementation of subprogram :ieee:std_logic_arith:"<=" */
L4ieee_Q15std_logic_arith_Y5op_le_i251(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_le_i251_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_le_i251_C1r)1758 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i251(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_le_i251_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_le_i251_C1r)
1759 {
1760     integer L4ieee_Q15std_logic_arith_Y5op_le_i251_C6length;
1761     L4ieee_Q15std_logic_arith_Y5op_le_i251_C6length=(L4ieee_Q15std_logic_arith_Y5op_le_i251_C1l.info->length+1);
1762     return L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_le_i251_C1l,L4ieee_Q15std_logic_arith_Y5op_le_i251_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_le_i251_C1r,L4ieee_Q15std_logic_arith_Y5op_le_i251_C6length));
1763 }
1764 /* Implementation of subprogram :ieee:std_logic_arith:"<=" */
L4ieee_Q15std_logic_arith_Y5op_le_i254(const integer L4ieee_Q15std_logic_arith_Y5op_le_i254_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_le_i254_C1r)1765 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i254(const integer L4ieee_Q15std_logic_arith_Y5op_le_i254_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_le_i254_C1r)
1766 {
1767     integer L4ieee_Q15std_logic_arith_Y5op_le_i254_C6length;
1768     L4ieee_Q15std_logic_arith_Y5op_le_i254_C6length=(L4ieee_Q15std_logic_arith_Y5op_le_i254_C1r.info->length+1);
1769     return L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446 (L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_le_i254_C1l,L4ieee_Q15std_logic_arith_Y5op_le_i254_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_le_i254_C1r,L4ieee_Q15std_logic_arith_Y5op_le_i254_C6length));
1770 }
1771 /* Implementation of subprogram :ieee:std_logic_arith:"<=" */
L4ieee_Q15std_logic_arith_Y5op_le_i257(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_le_i257_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_le_i257_C1r)1772 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i257(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_le_i257_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_le_i257_C1r)
1773 {
1774     integer L4ieee_Q15std_logic_arith_Y5op_le_i257_C6length;
1775     L4ieee_Q15std_logic_arith_Y5op_le_i257_C6length=L4ieee_Q15std_logic_arith_Y5op_le_i257_C1l.info->length;
1776     return L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_le_i257_C1l,L4ieee_Q15std_logic_arith_Y5op_le_i257_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_le_i257_C1r,L4ieee_Q15std_logic_arith_Y5op_le_i257_C6length));
1777 }
1778 /* Implementation of subprogram :ieee:std_logic_arith:"<=" */
L4ieee_Q15std_logic_arith_Y5op_le_i260(const integer L4ieee_Q15std_logic_arith_Y5op_le_i260_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_le_i260_C1r)1779 enumeration L4ieee_Q15std_logic_arith_Y5op_le_i260(const integer L4ieee_Q15std_logic_arith_Y5op_le_i260_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_le_i260_C1r)
1780 {
1781     integer L4ieee_Q15std_logic_arith_Y5op_le_i260_C6length;
1782     L4ieee_Q15std_logic_arith_Y5op_le_i260_C6length=L4ieee_Q15std_logic_arith_Y5op_le_i260_C1r.info->length;
1783     return L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446 (L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_le_i260_C1l,L4ieee_Q15std_logic_arith_Y5op_le_i260_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_le_i260_C1r,L4ieee_Q15std_logic_arith_Y5op_le_i260_C6length));
1784 }
1785 /* Implementation of subprogram :ieee:std_logic_arith:">" */
L4ieee_Q15std_logic_arith_Y5op_gt_i263(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_gt_i263_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_gt_i263_C1r)1786 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i263(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_gt_i263_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_gt_i263_C1r)
1787 {
1788     integer L4ieee_Q15std_logic_arith_Y5op_gt_i263_C6length;
1789     L4ieee_Q15std_logic_arith_Y5op_gt_i263_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_gt_i263_C1l.info->length,L4ieee_Q15std_logic_arith_Y5op_gt_i263_C1r.info->length);
1790     return L4ieee_W15std_logic_arith_Y16unsigned_is_less_i455 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y5op_gt_i263_C1r,L4ieee_Q15std_logic_arith_Y5op_gt_i263_C6length),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y5op_gt_i263_C1l,L4ieee_Q15std_logic_arith_Y5op_gt_i263_C6length));
1791 }
1792 /* Implementation of subprogram :ieee:std_logic_arith:">" */
L4ieee_Q15std_logic_arith_Y5op_gt_i266(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_gt_i266_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_gt_i266_C1r)1793 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i266(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_gt_i266_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_gt_i266_C1r)
1794 {
1795     integer L4ieee_Q15std_logic_arith_Y5op_gt_i266_C6length;
1796     L4ieee_Q15std_logic_arith_Y5op_gt_i266_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_gt_i266_C1l.info->length,L4ieee_Q15std_logic_arith_Y5op_gt_i266_C1r.info->length);
1797     return L4ieee_W15std_logic_arith_Y7is_less_i437 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_gt_i266_C1r,L4ieee_Q15std_logic_arith_Y5op_gt_i266_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_gt_i266_C1l,L4ieee_Q15std_logic_arith_Y5op_gt_i266_C6length));
1798 }
1799 /* Implementation of subprogram :ieee:std_logic_arith:">" */
L4ieee_Q15std_logic_arith_Y5op_gt_i269(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_gt_i269_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_gt_i269_C1r)1800 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i269(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_gt_i269_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_gt_i269_C1r)
1801 {
1802     integer L4ieee_Q15std_logic_arith_Y5op_gt_i269_C6length;
1803     L4ieee_Q15std_logic_arith_Y5op_gt_i269_C6length=L4ieee_W15std_logic_arith_Y3max_i8 ((L4ieee_Q15std_logic_arith_Y5op_gt_i269_C1l.info->length+1),L4ieee_Q15std_logic_arith_Y5op_gt_i269_C1r.info->length);
1804     return L4ieee_W15std_logic_arith_Y7is_less_i437 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_gt_i269_C1r,L4ieee_Q15std_logic_arith_Y5op_gt_i269_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_gt_i269_C1l,L4ieee_Q15std_logic_arith_Y5op_gt_i269_C6length));
1805 }
1806 /* Implementation of subprogram :ieee:std_logic_arith:">" */
L4ieee_Q15std_logic_arith_Y5op_gt_i272(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_gt_i272_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_gt_i272_C1r)1807 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i272(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_gt_i272_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_gt_i272_C1r)
1808 {
1809     integer L4ieee_Q15std_logic_arith_Y5op_gt_i272_C6length;
1810     L4ieee_Q15std_logic_arith_Y5op_gt_i272_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_gt_i272_C1l.info->length,(L4ieee_Q15std_logic_arith_Y5op_gt_i272_C1r.info->length+1));
1811     return L4ieee_W15std_logic_arith_Y7is_less_i437 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_gt_i272_C1r,L4ieee_Q15std_logic_arith_Y5op_gt_i272_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_gt_i272_C1l,L4ieee_Q15std_logic_arith_Y5op_gt_i272_C6length));
1812 }
1813 /* Implementation of subprogram :ieee:std_logic_arith:">" */
L4ieee_Q15std_logic_arith_Y5op_gt_i275(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_gt_i275_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_gt_i275_C1r)1814 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i275(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_gt_i275_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_gt_i275_C1r)
1815 {
1816     integer L4ieee_Q15std_logic_arith_Y5op_gt_i275_C6length;
1817     L4ieee_Q15std_logic_arith_Y5op_gt_i275_C6length=(L4ieee_Q15std_logic_arith_Y5op_gt_i275_C1l.info->length+1);
1818     return L4ieee_W15std_logic_arith_Y7is_less_i437 (L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_gt_i275_C1r,L4ieee_Q15std_logic_arith_Y5op_gt_i275_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_gt_i275_C1l,L4ieee_Q15std_logic_arith_Y5op_gt_i275_C6length));
1819 }
1820 /* Implementation of subprogram :ieee:std_logic_arith:">" */
L4ieee_Q15std_logic_arith_Y5op_gt_i278(const integer L4ieee_Q15std_logic_arith_Y5op_gt_i278_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_gt_i278_C1r)1821 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i278(const integer L4ieee_Q15std_logic_arith_Y5op_gt_i278_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_gt_i278_C1r)
1822 {
1823     integer L4ieee_Q15std_logic_arith_Y5op_gt_i278_C6length;
1824     L4ieee_Q15std_logic_arith_Y5op_gt_i278_C6length=(L4ieee_Q15std_logic_arith_Y5op_gt_i278_C1r.info->length+1);
1825     return L4ieee_W15std_logic_arith_Y7is_less_i437 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_gt_i278_C1r,L4ieee_Q15std_logic_arith_Y5op_gt_i278_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_gt_i278_C1l,L4ieee_Q15std_logic_arith_Y5op_gt_i278_C6length));
1826 }
1827 /* Implementation of subprogram :ieee:std_logic_arith:">" */
L4ieee_Q15std_logic_arith_Y5op_gt_i281(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_gt_i281_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_gt_i281_C1r)1828 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i281(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_gt_i281_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_gt_i281_C1r)
1829 {
1830     integer L4ieee_Q15std_logic_arith_Y5op_gt_i281_C6length;
1831     L4ieee_Q15std_logic_arith_Y5op_gt_i281_C6length=L4ieee_Q15std_logic_arith_Y5op_gt_i281_C1l.info->length;
1832     return L4ieee_W15std_logic_arith_Y7is_less_i437 (L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_gt_i281_C1r,L4ieee_Q15std_logic_arith_Y5op_gt_i281_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_gt_i281_C1l,L4ieee_Q15std_logic_arith_Y5op_gt_i281_C6length));
1833 }
1834 /* Implementation of subprogram :ieee:std_logic_arith:">" */
L4ieee_Q15std_logic_arith_Y5op_gt_i284(const integer L4ieee_Q15std_logic_arith_Y5op_gt_i284_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_gt_i284_C1r)1835 enumeration L4ieee_Q15std_logic_arith_Y5op_gt_i284(const integer L4ieee_Q15std_logic_arith_Y5op_gt_i284_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_gt_i284_C1r)
1836 {
1837     integer L4ieee_Q15std_logic_arith_Y5op_gt_i284_C6length;
1838     L4ieee_Q15std_logic_arith_Y5op_gt_i284_C6length=L4ieee_Q15std_logic_arith_Y5op_gt_i284_C1r.info->length;
1839     return L4ieee_W15std_logic_arith_Y7is_less_i437 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_gt_i284_C1r,L4ieee_Q15std_logic_arith_Y5op_gt_i284_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_gt_i284_C1l,L4ieee_Q15std_logic_arith_Y5op_gt_i284_C6length));
1840 }
1841 /* Implementation of subprogram :ieee:std_logic_arith:">=" */
L4ieee_Q15std_logic_arith_Y5op_ge_i287(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_ge_i287_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_ge_i287_C1r)1842 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i287(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_ge_i287_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_ge_i287_C1r)
1843 {
1844     integer L4ieee_Q15std_logic_arith_Y5op_ge_i287_C6length;
1845     L4ieee_Q15std_logic_arith_Y5op_ge_i287_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_ge_i287_C1l.info->length,L4ieee_Q15std_logic_arith_Y5op_ge_i287_C1r.info->length);
1846     return L4ieee_W15std_logic_arith_Y25unsigned_is_less_or_equal_i464 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y5op_ge_i287_C1r,L4ieee_Q15std_logic_arith_Y5op_ge_i287_C6length),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y5op_ge_i287_C1l,L4ieee_Q15std_logic_arith_Y5op_ge_i287_C6length));
1847 }
1848 /* Implementation of subprogram :ieee:std_logic_arith:">=" */
L4ieee_Q15std_logic_arith_Y5op_ge_i290(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_ge_i290_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_ge_i290_C1r)1849 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i290(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_ge_i290_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_ge_i290_C1r)
1850 {
1851     integer L4ieee_Q15std_logic_arith_Y5op_ge_i290_C6length;
1852     L4ieee_Q15std_logic_arith_Y5op_ge_i290_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_ge_i290_C1l.info->length,L4ieee_Q15std_logic_arith_Y5op_ge_i290_C1r.info->length);
1853     return L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_ge_i290_C1r,L4ieee_Q15std_logic_arith_Y5op_ge_i290_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_ge_i290_C1l,L4ieee_Q15std_logic_arith_Y5op_ge_i290_C6length));
1854 }
1855 /* Implementation of subprogram :ieee:std_logic_arith:">=" */
L4ieee_Q15std_logic_arith_Y5op_ge_i293(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_ge_i293_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_ge_i293_C1r)1856 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i293(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_ge_i293_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_ge_i293_C1r)
1857 {
1858     integer L4ieee_Q15std_logic_arith_Y5op_ge_i293_C6length;
1859     L4ieee_Q15std_logic_arith_Y5op_ge_i293_C6length=L4ieee_W15std_logic_arith_Y3max_i8 ((L4ieee_Q15std_logic_arith_Y5op_ge_i293_C1l.info->length+1),L4ieee_Q15std_logic_arith_Y5op_ge_i293_C1r.info->length);
1860     return L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_ge_i293_C1r,L4ieee_Q15std_logic_arith_Y5op_ge_i293_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_ge_i293_C1l,L4ieee_Q15std_logic_arith_Y5op_ge_i293_C6length));
1861 }
1862 /* Implementation of subprogram :ieee:std_logic_arith:">=" */
L4ieee_Q15std_logic_arith_Y5op_ge_i296(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_ge_i296_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_ge_i296_C1r)1863 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i296(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_ge_i296_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_ge_i296_C1r)
1864 {
1865     integer L4ieee_Q15std_logic_arith_Y5op_ge_i296_C6length;
1866     L4ieee_Q15std_logic_arith_Y5op_ge_i296_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_ge_i296_C1l.info->length,(L4ieee_Q15std_logic_arith_Y5op_ge_i296_C1r.info->length+1));
1867     return L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_ge_i296_C1r,L4ieee_Q15std_logic_arith_Y5op_ge_i296_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_ge_i296_C1l,L4ieee_Q15std_logic_arith_Y5op_ge_i296_C6length));
1868 }
1869 /* Implementation of subprogram :ieee:std_logic_arith:">=" */
L4ieee_Q15std_logic_arith_Y5op_ge_i299(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_ge_i299_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_ge_i299_C1r)1870 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i299(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_ge_i299_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_ge_i299_C1r)
1871 {
1872     integer L4ieee_Q15std_logic_arith_Y5op_ge_i299_C6length;
1873     L4ieee_Q15std_logic_arith_Y5op_ge_i299_C6length=(L4ieee_Q15std_logic_arith_Y5op_ge_i299_C1l.info->length+1);
1874     return L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446 (L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_ge_i299_C1r,L4ieee_Q15std_logic_arith_Y5op_ge_i299_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_ge_i299_C1l,L4ieee_Q15std_logic_arith_Y5op_ge_i299_C6length));
1875 }
1876 /* Implementation of subprogram :ieee:std_logic_arith:">=" */
L4ieee_Q15std_logic_arith_Y5op_ge_i302(const integer L4ieee_Q15std_logic_arith_Y5op_ge_i302_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_ge_i302_C1r)1877 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i302(const integer L4ieee_Q15std_logic_arith_Y5op_ge_i302_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_ge_i302_C1r)
1878 {
1879     integer L4ieee_Q15std_logic_arith_Y5op_ge_i302_C6length;
1880     L4ieee_Q15std_logic_arith_Y5op_ge_i302_C6length=(L4ieee_Q15std_logic_arith_Y5op_ge_i302_C1r.info->length+1);
1881     return L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_ge_i302_C1r,L4ieee_Q15std_logic_arith_Y5op_ge_i302_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_ge_i302_C1l,L4ieee_Q15std_logic_arith_Y5op_ge_i302_C6length));
1882 }
1883 /* Implementation of subprogram :ieee:std_logic_arith:">=" */
L4ieee_Q15std_logic_arith_Y5op_ge_i305(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_ge_i305_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_ge_i305_C1r)1884 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i305(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_ge_i305_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_ge_i305_C1r)
1885 {
1886     integer L4ieee_Q15std_logic_arith_Y5op_ge_i305_C6length;
1887     L4ieee_Q15std_logic_arith_Y5op_ge_i305_C6length=L4ieee_Q15std_logic_arith_Y5op_ge_i305_C1l.info->length;
1888     return L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446 (L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_ge_i305_C1r,L4ieee_Q15std_logic_arith_Y5op_ge_i305_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_ge_i305_C1l,L4ieee_Q15std_logic_arith_Y5op_ge_i305_C6length));
1889 }
1890 /* Implementation of subprogram :ieee:std_logic_arith:">=" */
L4ieee_Q15std_logic_arith_Y5op_ge_i308(const integer L4ieee_Q15std_logic_arith_Y5op_ge_i308_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_ge_i308_C1r)1891 enumeration L4ieee_Q15std_logic_arith_Y5op_ge_i308(const integer L4ieee_Q15std_logic_arith_Y5op_ge_i308_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_ge_i308_C1r)
1892 {
1893     integer L4ieee_Q15std_logic_arith_Y5op_ge_i308_C6length;
1894     L4ieee_Q15std_logic_arith_Y5op_ge_i308_C6length=L4ieee_Q15std_logic_arith_Y5op_ge_i308_C1r.info->length;
1895     return L4ieee_W15std_logic_arith_Y16is_less_or_equal_i446 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_ge_i308_C1r,L4ieee_Q15std_logic_arith_Y5op_ge_i308_C6length),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_ge_i308_C1l,L4ieee_Q15std_logic_arith_Y5op_ge_i308_C6length));
1896 }
1897 /* Implementation of subprogram :ieee:std_logic_arith:bitwise_eql */
L4ieee_W15std_logic_arith_Y11bitwise_eql_i601(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_C1l,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_C1r)1898 enumeration L4ieee_W15std_logic_arith_Y11bitwise_eql_i601(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_C1l,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_C1r)
1899 {
1900     enumeration L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_V6result;
1901     integer L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_L5603ln_C1i,L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_L5603ln_C1i_lc,L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_L5603ln_C1i_ls;
1902     L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_V6result=enumeration(0);
1903     L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_V6result=enumeration(1);
1904     for (L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_L5603ln_C1i=L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_C1l.info->left_bound,L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_L5603ln_C1i_ls=L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_C1l.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_L5603ln_C1i_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_C1l.info->left_bound,L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_C1l.info->index_direction,L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_C1l.info->right_bound);L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_L5603ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_L5603ln_C1i+=L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_L5603ln_C1i_ls,L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_L5603ln_C1i_lc--) {
1905       if((L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_C1l[L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_L5603ln_C1i]!=L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_C1r[L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_L5603ln_C1i])) {
1906         L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_V6result=enumeration(0);
1907       }
1908     }
1909     return L4ieee_W15std_logic_arith_Y11bitwise_eql_i601_V6result;
1910 }
1911 /* Implementation of subprogram :ieee:std_logic_arith:bitwise_neq */
L4ieee_W15std_logic_arith_Y11bitwise_neq_i607(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_C1l,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector & L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_C1r)1912 enumeration L4ieee_W15std_logic_arith_Y11bitwise_neq_i607(const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_C1l,const L4ieee_Q14std_logic_1164_T17std_ulogic_vector &L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_C1r)
1913 {
1914     enumeration L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_V6result;
1915     integer L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_L5609ln_C1i,L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_L5609ln_C1i_lc,L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_L5609ln_C1i_ls;
1916     L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_V6result=enumeration(0);
1917     L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_V6result=enumeration(0);
1918     for (L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_L5609ln_C1i=L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_C1l.info->left_bound,L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_L5609ln_C1i_ls=L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_C1l.info->index_direction==to?+1:-1,L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_L5609ln_C1i_lc=range_to_length<integer>(L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_C1l.info->left_bound,L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_C1l.info->index_direction,L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_C1l.info->right_bound);L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_L5609ln_C1i_lc!=0; L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_L5609ln_C1i+=L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_L5609ln_C1i_ls,L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_L5609ln_C1i_lc--) {
1919       if((L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_C1l[L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_L5609ln_C1i]!=L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_C1r[L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_L5609ln_C1i])) {
1920         L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_V6result=enumeration(1);
1921       }
1922     }
1923     return L4ieee_W15std_logic_arith_Y11bitwise_neq_i607_V6result;
1924 }
1925 /* Implementation of subprogram :ieee:std_logic_arith:"=" */
L4ieee_Q15std_logic_arith_Y5op_eq_i311(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_eq_i311_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_eq_i311_C1r)1926 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i311(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_eq_i311_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_eq_i311_C1r)
1927 {
1928     integer L4ieee_Q15std_logic_arith_Y5op_eq_i311_C6length;
1929     L4ieee_Q15std_logic_arith_Y5op_eq_i311_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_eq_i311_C1l.info->length,L4ieee_Q15std_logic_arith_Y5op_eq_i311_C1r.info->length);
1930     return L4ieee_W15std_logic_arith_Y11bitwise_eql_i601 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y5op_eq_i311_C1l,L4ieee_Q15std_logic_arith_Y5op_eq_i311_C6length)),array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y5op_eq_i311_C1r,L4ieee_Q15std_logic_arith_Y5op_eq_i311_C6length)));
1931 }
1932 /* Implementation of subprogram :ieee:std_logic_arith:"=" */
L4ieee_Q15std_logic_arith_Y5op_eq_i314(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_eq_i314_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_eq_i314_C1r)1933 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i314(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_eq_i314_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_eq_i314_C1r)
1934 {
1935     integer L4ieee_Q15std_logic_arith_Y5op_eq_i314_C6length;
1936     L4ieee_Q15std_logic_arith_Y5op_eq_i314_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_eq_i314_C1l.info->length,L4ieee_Q15std_logic_arith_Y5op_eq_i314_C1r.info->length);
1937     return L4ieee_W15std_logic_arith_Y11bitwise_eql_i601 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_eq_i314_C1l,L4ieee_Q15std_logic_arith_Y5op_eq_i314_C6length)),array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_eq_i314_C1r,L4ieee_Q15std_logic_arith_Y5op_eq_i314_C6length)));
1938 }
1939 /* Implementation of subprogram :ieee:std_logic_arith:"=" */
L4ieee_Q15std_logic_arith_Y5op_eq_i317(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_eq_i317_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_eq_i317_C1r)1940 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i317(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_eq_i317_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_eq_i317_C1r)
1941 {
1942     integer L4ieee_Q15std_logic_arith_Y5op_eq_i317_C6length;
1943     L4ieee_Q15std_logic_arith_Y5op_eq_i317_C6length=L4ieee_W15std_logic_arith_Y3max_i8 ((L4ieee_Q15std_logic_arith_Y5op_eq_i317_C1l.info->length+1),L4ieee_Q15std_logic_arith_Y5op_eq_i317_C1r.info->length);
1944     return L4ieee_W15std_logic_arith_Y11bitwise_eql_i601 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_eq_i317_C1l,L4ieee_Q15std_logic_arith_Y5op_eq_i317_C6length)),array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_eq_i317_C1r,L4ieee_Q15std_logic_arith_Y5op_eq_i317_C6length)));
1945 }
1946 /* Implementation of subprogram :ieee:std_logic_arith:"=" */
L4ieee_Q15std_logic_arith_Y5op_eq_i320(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_eq_i320_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_eq_i320_C1r)1947 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i320(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_eq_i320_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_eq_i320_C1r)
1948 {
1949     integer L4ieee_Q15std_logic_arith_Y5op_eq_i320_C6length;
1950     L4ieee_Q15std_logic_arith_Y5op_eq_i320_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_eq_i320_C1l.info->length,(L4ieee_Q15std_logic_arith_Y5op_eq_i320_C1r.info->length+1));
1951     return L4ieee_W15std_logic_arith_Y11bitwise_eql_i601 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_eq_i320_C1l,L4ieee_Q15std_logic_arith_Y5op_eq_i320_C6length)),array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_eq_i320_C1r,L4ieee_Q15std_logic_arith_Y5op_eq_i320_C6length)));
1952 }
1953 /* Implementation of subprogram :ieee:std_logic_arith:"=" */
L4ieee_Q15std_logic_arith_Y5op_eq_i323(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_eq_i323_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_eq_i323_C1r)1954 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i323(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_eq_i323_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_eq_i323_C1r)
1955 {
1956     integer L4ieee_Q15std_logic_arith_Y5op_eq_i323_C6length;
1957     L4ieee_Q15std_logic_arith_Y5op_eq_i323_C6length=(L4ieee_Q15std_logic_arith_Y5op_eq_i323_C1l.info->length+1);
1958     return L4ieee_W15std_logic_arith_Y11bitwise_eql_i601 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_eq_i323_C1l,L4ieee_Q15std_logic_arith_Y5op_eq_i323_C6length)),array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_eq_i323_C1r,L4ieee_Q15std_logic_arith_Y5op_eq_i323_C6length)));
1959 }
1960 /* Implementation of subprogram :ieee:std_logic_arith:"=" */
L4ieee_Q15std_logic_arith_Y5op_eq_i326(const integer L4ieee_Q15std_logic_arith_Y5op_eq_i326_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_eq_i326_C1r)1961 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i326(const integer L4ieee_Q15std_logic_arith_Y5op_eq_i326_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_eq_i326_C1r)
1962 {
1963     integer L4ieee_Q15std_logic_arith_Y5op_eq_i326_C6length;
1964     L4ieee_Q15std_logic_arith_Y5op_eq_i326_C6length=(L4ieee_Q15std_logic_arith_Y5op_eq_i326_C1r.info->length+1);
1965     return L4ieee_W15std_logic_arith_Y11bitwise_eql_i601 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_eq_i326_C1l,L4ieee_Q15std_logic_arith_Y5op_eq_i326_C6length)),array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_eq_i326_C1r,L4ieee_Q15std_logic_arith_Y5op_eq_i326_C6length)));
1966 }
1967 /* Implementation of subprogram :ieee:std_logic_arith:"=" */
L4ieee_Q15std_logic_arith_Y5op_eq_i329(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_eq_i329_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_eq_i329_C1r)1968 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i329(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_eq_i329_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_eq_i329_C1r)
1969 {
1970     integer L4ieee_Q15std_logic_arith_Y5op_eq_i329_C6length;
1971     L4ieee_Q15std_logic_arith_Y5op_eq_i329_C6length=L4ieee_Q15std_logic_arith_Y5op_eq_i329_C1l.info->length;
1972     return L4ieee_W15std_logic_arith_Y11bitwise_eql_i601 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_eq_i329_C1l,L4ieee_Q15std_logic_arith_Y5op_eq_i329_C6length)),array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_eq_i329_C1r,L4ieee_Q15std_logic_arith_Y5op_eq_i329_C6length)));
1973 }
1974 /* Implementation of subprogram :ieee:std_logic_arith:"=" */
L4ieee_Q15std_logic_arith_Y5op_eq_i332(const integer L4ieee_Q15std_logic_arith_Y5op_eq_i332_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_eq_i332_C1r)1975 enumeration L4ieee_Q15std_logic_arith_Y5op_eq_i332(const integer L4ieee_Q15std_logic_arith_Y5op_eq_i332_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_eq_i332_C1r)
1976 {
1977     integer L4ieee_Q15std_logic_arith_Y5op_eq_i332_C6length;
1978     L4ieee_Q15std_logic_arith_Y5op_eq_i332_C6length=L4ieee_Q15std_logic_arith_Y5op_eq_i332_C1r.info->length;
1979     return L4ieee_W15std_logic_arith_Y11bitwise_eql_i601 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_eq_i332_C1l,L4ieee_Q15std_logic_arith_Y5op_eq_i332_C6length)),array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_eq_i332_C1r,L4ieee_Q15std_logic_arith_Y5op_eq_i332_C6length)));
1980 }
1981 /* Implementation of subprogram :ieee:std_logic_arith:"/=" */
L4ieee_Q15std_logic_arith_Y5op_ne_i335(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_ne_i335_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_ne_i335_C1r)1982 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i335(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_ne_i335_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_ne_i335_C1r)
1983 {
1984     integer L4ieee_Q15std_logic_arith_Y5op_ne_i335_C6length;
1985     L4ieee_Q15std_logic_arith_Y5op_ne_i335_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_ne_i335_C1l.info->length,L4ieee_Q15std_logic_arith_Y5op_ne_i335_C1r.info->length);
1986     return L4ieee_W15std_logic_arith_Y11bitwise_neq_i607 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y5op_ne_i335_C1l,L4ieee_Q15std_logic_arith_Y5op_ne_i335_C6length)),array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382 (L4ieee_Q15std_logic_arith_Y5op_ne_i335_C1r,L4ieee_Q15std_logic_arith_Y5op_ne_i335_C6length)));
1987 }
1988 /* Implementation of subprogram :ieee:std_logic_arith:"/=" */
L4ieee_Q15std_logic_arith_Y5op_ne_i338(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_ne_i338_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_ne_i338_C1r)1989 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i338(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_ne_i338_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_ne_i338_C1r)
1990 {
1991     integer L4ieee_Q15std_logic_arith_Y5op_ne_i338_C6length;
1992     L4ieee_Q15std_logic_arith_Y5op_ne_i338_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_ne_i338_C1l.info->length,L4ieee_Q15std_logic_arith_Y5op_ne_i338_C1r.info->length);
1993     return L4ieee_W15std_logic_arith_Y11bitwise_neq_i607 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_ne_i338_C1l,L4ieee_Q15std_logic_arith_Y5op_ne_i338_C6length)),array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_ne_i338_C1r,L4ieee_Q15std_logic_arith_Y5op_ne_i338_C6length)));
1994 }
1995 /* Implementation of subprogram :ieee:std_logic_arith:"/=" */
L4ieee_Q15std_logic_arith_Y5op_ne_i341(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_ne_i341_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_ne_i341_C1r)1996 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i341(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_ne_i341_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_ne_i341_C1r)
1997 {
1998     integer L4ieee_Q15std_logic_arith_Y5op_ne_i341_C6length;
1999     L4ieee_Q15std_logic_arith_Y5op_ne_i341_C6length=L4ieee_W15std_logic_arith_Y3max_i8 ((L4ieee_Q15std_logic_arith_Y5op_ne_i341_C1l.info->length+1),L4ieee_Q15std_logic_arith_Y5op_ne_i341_C1r.info->length);
2000     return L4ieee_W15std_logic_arith_Y11bitwise_neq_i607 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_ne_i341_C1l,L4ieee_Q15std_logic_arith_Y5op_ne_i341_C6length)),array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_ne_i341_C1r,L4ieee_Q15std_logic_arith_Y5op_ne_i341_C6length)));
2001 }
2002 /* Implementation of subprogram :ieee:std_logic_arith:"/=" */
L4ieee_Q15std_logic_arith_Y5op_ne_i344(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_ne_i344_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_ne_i344_C1r)2003 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i344(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_ne_i344_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_ne_i344_C1r)
2004 {
2005     integer L4ieee_Q15std_logic_arith_Y5op_ne_i344_C6length;
2006     L4ieee_Q15std_logic_arith_Y5op_ne_i344_C6length=L4ieee_W15std_logic_arith_Y3max_i8 (L4ieee_Q15std_logic_arith_Y5op_ne_i344_C1l.info->length,(L4ieee_Q15std_logic_arith_Y5op_ne_i344_C1r.info->length+1));
2007     return L4ieee_W15std_logic_arith_Y11bitwise_neq_i607 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_ne_i344_C1l,L4ieee_Q15std_logic_arith_Y5op_ne_i344_C6length)),array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_ne_i344_C1r,L4ieee_Q15std_logic_arith_Y5op_ne_i344_C6length)));
2008 }
2009 /* Implementation of subprogram :ieee:std_logic_arith:"/=" */
L4ieee_Q15std_logic_arith_Y5op_ne_i347(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_ne_i347_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_ne_i347_C1r)2010 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i347(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_ne_i347_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_ne_i347_C1r)
2011 {
2012     integer L4ieee_Q15std_logic_arith_Y5op_ne_i347_C6length;
2013     L4ieee_Q15std_logic_arith_Y5op_ne_i347_C6length=(L4ieee_Q15std_logic_arith_Y5op_ne_i347_C1l.info->length+1);
2014     return L4ieee_W15std_logic_arith_Y11bitwise_neq_i607 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_ne_i347_C1l,L4ieee_Q15std_logic_arith_Y5op_ne_i347_C6length)),array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_ne_i347_C1r,L4ieee_Q15std_logic_arith_Y5op_ne_i347_C6length)));
2015 }
2016 /* Implementation of subprogram :ieee:std_logic_arith:"/=" */
L4ieee_Q15std_logic_arith_Y5op_ne_i350(const integer L4ieee_Q15std_logic_arith_Y5op_ne_i350_C1l,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y5op_ne_i350_C1r)2017 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i350(const integer L4ieee_Q15std_logic_arith_Y5op_ne_i350_C1l,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y5op_ne_i350_C1r)
2018 {
2019     integer L4ieee_Q15std_logic_arith_Y5op_ne_i350_C6length;
2020     L4ieee_Q15std_logic_arith_Y5op_ne_i350_C6length=(L4ieee_Q15std_logic_arith_Y5op_ne_i350_C1r.info->length+1);
2021     return L4ieee_W15std_logic_arith_Y11bitwise_neq_i607 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_ne_i350_C1l,L4ieee_Q15std_logic_arith_Y5op_ne_i350_C6length)),array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i394 (L4ieee_Q15std_logic_arith_Y5op_ne_i350_C1r,L4ieee_Q15std_logic_arith_Y5op_ne_i350_C6length)));
2022 }
2023 /* Implementation of subprogram :ieee:std_logic_arith:"/=" */
L4ieee_Q15std_logic_arith_Y5op_ne_i353(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_ne_i353_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_ne_i353_C1r)2024 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i353(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_ne_i353_C1l,const integer L4ieee_Q15std_logic_arith_Y5op_ne_i353_C1r)
2025 {
2026     integer L4ieee_Q15std_logic_arith_Y5op_ne_i353_C6length;
2027     L4ieee_Q15std_logic_arith_Y5op_ne_i353_C6length=L4ieee_Q15std_logic_arith_Y5op_ne_i353_C1l.info->length;
2028     return L4ieee_W15std_logic_arith_Y11bitwise_neq_i607 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_ne_i353_C1l,L4ieee_Q15std_logic_arith_Y5op_ne_i353_C6length)),array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_ne_i353_C1r,L4ieee_Q15std_logic_arith_Y5op_ne_i353_C6length)));
2029 }
2030 /* Implementation of subprogram :ieee:std_logic_arith:"/=" */
L4ieee_Q15std_logic_arith_Y5op_ne_i356(const integer L4ieee_Q15std_logic_arith_Y5op_ne_i356_C1l,const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y5op_ne_i356_C1r)2031 enumeration L4ieee_Q15std_logic_arith_Y5op_ne_i356(const integer L4ieee_Q15std_logic_arith_Y5op_ne_i356_C1l,const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y5op_ne_i356_C1r)
2032 {
2033     integer L4ieee_Q15std_logic_arith_Y5op_ne_i356_C6length;
2034     L4ieee_Q15std_logic_arith_Y5op_ne_i356_C6length=L4ieee_Q15std_logic_arith_Y5op_ne_i356_C1r.info->length;
2035     return L4ieee_W15std_logic_arith_Y11bitwise_neq_i607 (array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i391 (L4ieee_Q15std_logic_arith_Y5op_ne_i356_C1l,L4ieee_Q15std_logic_arith_Y5op_ne_i356_C6length)),array_alias<L4ieee_Q14std_logic_1164_T17std_ulogic_vector >((&L4ieee_Q14std_logic_1164_I17std_ulogic_vector_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i397 (L4ieee_Q15std_logic_arith_Y5op_ne_i356_C1r,L4ieee_Q15std_logic_arith_Y5op_ne_i356_C6length)));
2036 }
2037 /* Implementation of subprogram :ieee:std_logic_arith:shl */
L4ieee_Q15std_logic_arith_Y3shl_i359(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y3shl_i359_C3arg,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y3shl_i359_C5count)2038 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y3shl_i359(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y3shl_i359_C3arg,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y3shl_i359_C5count)
2039 {
2040     integer L4ieee_Q15std_logic_arith_Y3shl_i359_C11control_msb;
2041     L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y3shl_i359_V7control;
2042     integer L4ieee_Q15std_logic_arith_Y3shl_i359_C10result_msb;
2043     L4ieee_Q15std_logic_arith_Y3shl_i359_I5rtype L4ieee_Q15std_logic_arith_Y3shl_i359_I5rtype_INFO;
2044     L4ieee_Q15std_logic_arith_Y3shl_i359_T5rtype L4ieee_Q15std_logic_arith_Y3shl_i359_V4temp,L4ieee_Q15std_logic_arith_Y3shl_i359_V6result;
2045     integer L4ieee_Q15std_logic_arith_Y3shl_i359_L5684ln_C1j,L4ieee_Q15std_logic_arith_Y3shl_i359_L5684ln_C1j_lc,L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_C1i,L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_C1i_lc,L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_L5688ln_C1j,L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_L5688ln_C1j_lc;
2046     L4ieee_Q15std_logic_arith_Y3shl_i359_C11control_msb=(L4ieee_Q15std_logic_arith_Y3shl_i359_C5count.info->length-1);
2047     L4ieee_Q15std_logic_arith_Y3shl_i359_V7control.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,L4ieee_Q15std_logic_arith_Y3shl_i359_C11control_msb,downto,0,0)),enumeration(0));
2048     L4ieee_Q15std_logic_arith_Y3shl_i359_C10result_msb=(L4ieee_Q15std_logic_arith_Y3shl_i359_C3arg.info->length-1);
2049     L4ieee_Q15std_logic_arith_Y3shl_i359_I5rtype_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7integer_INFO),L4ieee_Q15std_logic_arith_Y3shl_i359_C10result_msb,downto,0,-1);
2050     L4ieee_Q15std_logic_arith_Y3shl_i359_V4temp.init((&L4ieee_Q15std_logic_arith_Y3shl_i359_I5rtype_INFO),enumeration(0));
2051     L4ieee_Q15std_logic_arith_Y3shl_i359_V6result.init((&L4ieee_Q15std_logic_arith_Y3shl_i359_I5rtype_INFO),enumeration(0));
2052     L4ieee_Q15std_logic_arith_Y3shl_i359_V7control=L4ieee_W15std_logic_arith_Y11make_binary_i55 (L4ieee_Q15std_logic_arith_Y3shl_i359_C5count);
2053     if((L4ieee_Q15std_logic_arith_Y3shl_i359_V7control[0]==enumeration(1))) {
2054       for (L4ieee_Q15std_logic_arith_Y3shl_i359_L5684ln_C1j=L4ieee_Q15std_logic_arith_Y3shl_i359_C10result_msb,L4ieee_Q15std_logic_arith_Y3shl_i359_L5684ln_C1j_lc=down_range_to_length<integer>(L4ieee_Q15std_logic_arith_Y3shl_i359_C10result_msb,0); L4ieee_Q15std_logic_arith_Y3shl_i359_L5684ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y3shl_i359_L5684ln_C1j--,L4ieee_Q15std_logic_arith_Y3shl_i359_L5684ln_C1j_lc--) {
2055         L4ieee_Q15std_logic_arith_Y3shl_i359_V6result[L4ieee_Q15std_logic_arith_Y3shl_i359_L5684ln_C1j]=enumeration(1);
2056       }
2057     } else {
2058       L4ieee_Q15std_logic_arith_Y3shl_i359_V6result=L4ieee_Q15std_logic_arith_Y3shl_i359_C3arg;
2059       for (L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_C1i=0,L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_C1i_lc=up_range_to_length<integer>(0,L4ieee_Q15std_logic_arith_Y3shl_i359_C11control_msb); L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_C1i_lc!=0; L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_C1i++,L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_C1i_lc--) {
2060         if((L4ieee_Q15std_logic_arith_Y3shl_i359_V7control[L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_C1i]==enumeration(3))) {
2061           for (L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_L5688ln_C1j=L4ieee_Q15std_logic_arith_Y3shl_i359_C10result_msb,L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_L5688ln_C1j_lc=down_range_to_length<integer>(L4ieee_Q15std_logic_arith_Y3shl_i359_C10result_msb,0); L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_L5688ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_L5688ln_C1j--,L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_L5688ln_C1j_lc--) {
2062             L4ieee_Q15std_logic_arith_Y3shl_i359_V4temp[L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_L5688ln_C1j]=enumeration(2);
2063           }
2064           if((op_power(2,L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_C1i)<=L4ieee_Q15std_logic_arith_Y3shl_i359_C10result_msb)) {
2065             array_alias<L4ieee_Q15std_logic_arith_Y3shl_i359_T5rtype >(new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,L4ieee_Q15std_logic_arith_Y3shl_i359_C10result_msb,downto,op_power(2,L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_C1i),0),&L4ieee_Q15std_logic_arith_Y3shl_i359_V4temp[L4ieee_Q15std_logic_arith_Y3shl_i359_C10result_msb])=array_alias<L4ieee_Q15std_logic_arith_Y3shl_i359_T5rtype >(new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y3shl_i359_C10result_msb-op_power(2,L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_C1i)),downto,0,0),&L4ieee_Q15std_logic_arith_Y3shl_i359_V6result[(L4ieee_Q15std_logic_arith_Y3shl_i359_C10result_msb-op_power(2,L4ieee_Q15std_logic_arith_Y3shl_i359_L5686ln_C1i))]);
2066           }
2067           L4ieee_Q15std_logic_arith_Y3shl_i359_V6result=L4ieee_Q15std_logic_arith_Y3shl_i359_V4temp;
2068         }
2069       }
2070     }
2071     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_Q15std_logic_arith_Y3shl_i359_V6result);
2072 }
2073 /* Implementation of subprogram :ieee:std_logic_arith:shl */
L4ieee_Q15std_logic_arith_Y3shl_i362(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y3shl_i362_C3arg,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y3shl_i362_C5count)2074 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y3shl_i362(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y3shl_i362_C3arg,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y3shl_i362_C5count)
2075 {
2076     integer L4ieee_Q15std_logic_arith_Y3shl_i362_C11control_msb;
2077     L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y3shl_i362_V7control;
2078     integer L4ieee_Q15std_logic_arith_Y3shl_i362_C10result_msb;
2079     L4ieee_Q15std_logic_arith_Y3shl_i362_I5rtype L4ieee_Q15std_logic_arith_Y3shl_i362_I5rtype_INFO;
2080     L4ieee_Q15std_logic_arith_Y3shl_i362_T5rtype L4ieee_Q15std_logic_arith_Y3shl_i362_V4temp,L4ieee_Q15std_logic_arith_Y3shl_i362_V6result;
2081     integer L4ieee_Q15std_logic_arith_Y3shl_i362_L5699ln_C1j,L4ieee_Q15std_logic_arith_Y3shl_i362_L5699ln_C1j_lc,L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_C1i,L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_C1i_lc,L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_L5703ln_C1j,L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_L5703ln_C1j_lc;
2082     L4ieee_Q15std_logic_arith_Y3shl_i362_C11control_msb=(L4ieee_Q15std_logic_arith_Y3shl_i362_C5count.info->length-1);
2083     L4ieee_Q15std_logic_arith_Y3shl_i362_V7control.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,L4ieee_Q15std_logic_arith_Y3shl_i362_C11control_msb,downto,0,0)),enumeration(0));
2084     L4ieee_Q15std_logic_arith_Y3shl_i362_C10result_msb=(L4ieee_Q15std_logic_arith_Y3shl_i362_C3arg.info->length-1);
2085     L4ieee_Q15std_logic_arith_Y3shl_i362_I5rtype_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7integer_INFO),L4ieee_Q15std_logic_arith_Y3shl_i362_C10result_msb,downto,0,-1);
2086     L4ieee_Q15std_logic_arith_Y3shl_i362_V4temp.init((&L4ieee_Q15std_logic_arith_Y3shl_i362_I5rtype_INFO),enumeration(0));
2087     L4ieee_Q15std_logic_arith_Y3shl_i362_V6result.init((&L4ieee_Q15std_logic_arith_Y3shl_i362_I5rtype_INFO),enumeration(0));
2088     L4ieee_Q15std_logic_arith_Y3shl_i362_V7control=L4ieee_W15std_logic_arith_Y11make_binary_i55 (L4ieee_Q15std_logic_arith_Y3shl_i362_C5count);
2089     if((L4ieee_Q15std_logic_arith_Y3shl_i362_V7control[0]==enumeration(1))) {
2090       for (L4ieee_Q15std_logic_arith_Y3shl_i362_L5699ln_C1j=L4ieee_Q15std_logic_arith_Y3shl_i362_C10result_msb,L4ieee_Q15std_logic_arith_Y3shl_i362_L5699ln_C1j_lc=down_range_to_length<integer>(L4ieee_Q15std_logic_arith_Y3shl_i362_C10result_msb,0); L4ieee_Q15std_logic_arith_Y3shl_i362_L5699ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y3shl_i362_L5699ln_C1j--,L4ieee_Q15std_logic_arith_Y3shl_i362_L5699ln_C1j_lc--) {
2091         L4ieee_Q15std_logic_arith_Y3shl_i362_V6result[L4ieee_Q15std_logic_arith_Y3shl_i362_L5699ln_C1j]=enumeration(1);
2092       }
2093     } else {
2094       L4ieee_Q15std_logic_arith_Y3shl_i362_V6result=L4ieee_Q15std_logic_arith_Y3shl_i362_C3arg;
2095       for (L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_C1i=0,L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_C1i_lc=up_range_to_length<integer>(0,L4ieee_Q15std_logic_arith_Y3shl_i362_C11control_msb); L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_C1i_lc!=0; L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_C1i++,L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_C1i_lc--) {
2096         if((L4ieee_Q15std_logic_arith_Y3shl_i362_V7control[L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_C1i]==enumeration(3))) {
2097           for (L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_L5703ln_C1j=L4ieee_Q15std_logic_arith_Y3shl_i362_C10result_msb,L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_L5703ln_C1j_lc=down_range_to_length<integer>(L4ieee_Q15std_logic_arith_Y3shl_i362_C10result_msb,0); L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_L5703ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_L5703ln_C1j--,L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_L5703ln_C1j_lc--) {
2098             L4ieee_Q15std_logic_arith_Y3shl_i362_V4temp[L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_L5703ln_C1j]=enumeration(2);
2099           }
2100           if((op_power(2,L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_C1i)<=L4ieee_Q15std_logic_arith_Y3shl_i362_C10result_msb)) {
2101             array_alias<L4ieee_Q15std_logic_arith_Y3shl_i362_T5rtype >(new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,L4ieee_Q15std_logic_arith_Y3shl_i362_C10result_msb,downto,op_power(2,L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_C1i),0),&L4ieee_Q15std_logic_arith_Y3shl_i362_V4temp[L4ieee_Q15std_logic_arith_Y3shl_i362_C10result_msb])=array_alias<L4ieee_Q15std_logic_arith_Y3shl_i362_T5rtype >(new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y3shl_i362_C10result_msb-op_power(2,L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_C1i)),downto,0,0),&L4ieee_Q15std_logic_arith_Y3shl_i362_V6result[(L4ieee_Q15std_logic_arith_Y3shl_i362_C10result_msb-op_power(2,L4ieee_Q15std_logic_arith_Y3shl_i362_L5701ln_C1i))]);
2102           }
2103           L4ieee_Q15std_logic_arith_Y3shl_i362_V6result=L4ieee_Q15std_logic_arith_Y3shl_i362_V4temp;
2104         }
2105       }
2106     }
2107     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_Q15std_logic_arith_Y3shl_i362_V6result);
2108 }
2109 /* Implementation of subprogram :ieee:std_logic_arith:shr */
L4ieee_Q15std_logic_arith_Y3shr_i365(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y3shr_i365_C3arg,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y3shr_i365_C5count)2110 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y3shr_i365(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y3shr_i365_C3arg,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y3shr_i365_C5count)
2111 {
2112     integer L4ieee_Q15std_logic_arith_Y3shr_i365_C11control_msb;
2113     L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y3shr_i365_V7control;
2114     integer L4ieee_Q15std_logic_arith_Y3shr_i365_C10result_msb;
2115     L4ieee_Q15std_logic_arith_Y3shr_i365_I5rtype L4ieee_Q15std_logic_arith_Y3shr_i365_I5rtype_INFO;
2116     L4ieee_Q15std_logic_arith_Y3shr_i365_T5rtype L4ieee_Q15std_logic_arith_Y3shr_i365_V4temp,L4ieee_Q15std_logic_arith_Y3shr_i365_V6result;
2117     integer L4ieee_Q15std_logic_arith_Y3shr_i365_L5714ln_C1j,L4ieee_Q15std_logic_arith_Y3shr_i365_L5714ln_C1j_lc,L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_C1i,L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_C1i_lc,L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_L5718ln_C1j,L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_L5718ln_C1j_lc;
2118     L4ieee_Q15std_logic_arith_Y3shr_i365_C11control_msb=(L4ieee_Q15std_logic_arith_Y3shr_i365_C5count.info->length-1);
2119     L4ieee_Q15std_logic_arith_Y3shr_i365_V7control.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,L4ieee_Q15std_logic_arith_Y3shr_i365_C11control_msb,downto,0,0)),enumeration(0));
2120     L4ieee_Q15std_logic_arith_Y3shr_i365_C10result_msb=(L4ieee_Q15std_logic_arith_Y3shr_i365_C3arg.info->length-1);
2121     L4ieee_Q15std_logic_arith_Y3shr_i365_I5rtype_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7integer_INFO),L4ieee_Q15std_logic_arith_Y3shr_i365_C10result_msb,downto,0,-1);
2122     L4ieee_Q15std_logic_arith_Y3shr_i365_V4temp.init((&L4ieee_Q15std_logic_arith_Y3shr_i365_I5rtype_INFO),enumeration(0));
2123     L4ieee_Q15std_logic_arith_Y3shr_i365_V6result.init((&L4ieee_Q15std_logic_arith_Y3shr_i365_I5rtype_INFO),enumeration(0));
2124     L4ieee_Q15std_logic_arith_Y3shr_i365_V7control=L4ieee_W15std_logic_arith_Y11make_binary_i55 (L4ieee_Q15std_logic_arith_Y3shr_i365_C5count);
2125     if((L4ieee_Q15std_logic_arith_Y3shr_i365_V7control[0]==enumeration(1))) {
2126       for (L4ieee_Q15std_logic_arith_Y3shr_i365_L5714ln_C1j=L4ieee_Q15std_logic_arith_Y3shr_i365_C10result_msb,L4ieee_Q15std_logic_arith_Y3shr_i365_L5714ln_C1j_lc=down_range_to_length<integer>(L4ieee_Q15std_logic_arith_Y3shr_i365_C10result_msb,0); L4ieee_Q15std_logic_arith_Y3shr_i365_L5714ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y3shr_i365_L5714ln_C1j--,L4ieee_Q15std_logic_arith_Y3shr_i365_L5714ln_C1j_lc--) {
2127         L4ieee_Q15std_logic_arith_Y3shr_i365_V6result[L4ieee_Q15std_logic_arith_Y3shr_i365_L5714ln_C1j]=enumeration(1);
2128       }
2129     } else {
2130       L4ieee_Q15std_logic_arith_Y3shr_i365_V6result=L4ieee_Q15std_logic_arith_Y3shr_i365_C3arg;
2131       for (L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_C1i=0,L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_C1i_lc=up_range_to_length<integer>(0,L4ieee_Q15std_logic_arith_Y3shr_i365_C11control_msb); L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_C1i_lc!=0; L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_C1i++,L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_C1i_lc--) {
2132         if((L4ieee_Q15std_logic_arith_Y3shr_i365_V7control[L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_C1i]==enumeration(3))) {
2133           for (L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_L5718ln_C1j=L4ieee_Q15std_logic_arith_Y3shr_i365_C10result_msb,L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_L5718ln_C1j_lc=down_range_to_length<integer>(L4ieee_Q15std_logic_arith_Y3shr_i365_C10result_msb,0); L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_L5718ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_L5718ln_C1j--,L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_L5718ln_C1j_lc--) {
2134             L4ieee_Q15std_logic_arith_Y3shr_i365_V4temp[L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_L5718ln_C1j]=enumeration(2);
2135           }
2136           if((op_power(2,L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_C1i)<=L4ieee_Q15std_logic_arith_Y3shr_i365_C10result_msb)) {
2137             array_alias<L4ieee_Q15std_logic_arith_Y3shr_i365_T5rtype >(new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y3shr_i365_C10result_msb-op_power(2,L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_C1i)),downto,0,0),&L4ieee_Q15std_logic_arith_Y3shr_i365_V4temp[(L4ieee_Q15std_logic_arith_Y3shr_i365_C10result_msb-op_power(2,L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_C1i))])=array_alias<L4ieee_Q15std_logic_arith_Y3shr_i365_T5rtype >(new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,L4ieee_Q15std_logic_arith_Y3shr_i365_C10result_msb,downto,op_power(2,L4ieee_Q15std_logic_arith_Y3shr_i365_L5716ln_C1i),0),&L4ieee_Q15std_logic_arith_Y3shr_i365_V6result[L4ieee_Q15std_logic_arith_Y3shr_i365_C10result_msb]);
2138           }
2139           L4ieee_Q15std_logic_arith_Y3shr_i365_V6result=L4ieee_Q15std_logic_arith_Y3shr_i365_V4temp;
2140         }
2141       }
2142     }
2143     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_Q15std_logic_arith_Y3shr_i365_V6result);
2144 }
2145 /* Implementation of subprogram :ieee:std_logic_arith:shr */
L4ieee_Q15std_logic_arith_Y3shr_i368(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y3shr_i368_C3arg,const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y3shr_i368_C5count)2146 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y3shr_i368(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y3shr_i368_C3arg,const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y3shr_i368_C5count)
2147 {
2148     integer L4ieee_Q15std_logic_arith_Y3shr_i368_C11control_msb;
2149     L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y3shr_i368_V7control;
2150     integer L4ieee_Q15std_logic_arith_Y3shr_i368_C10result_msb;
2151     L4ieee_Q15std_logic_arith_Y3shr_i368_I5rtype L4ieee_Q15std_logic_arith_Y3shr_i368_I5rtype_INFO;
2152     L4ieee_Q15std_logic_arith_Y3shr_i368_T5rtype L4ieee_Q15std_logic_arith_Y3shr_i368_V4temp,L4ieee_Q15std_logic_arith_Y3shr_i368_V6result;
2153     enumeration L4ieee_Q15std_logic_arith_Y3shr_i368_V8sign_bit;
2154     integer L4ieee_Q15std_logic_arith_Y3shr_i368_L5730ln_C1j,L4ieee_Q15std_logic_arith_Y3shr_i368_L5730ln_C1j_lc,L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_C1i,L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_C1i_lc,L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_L5734ln_C1j,L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_L5734ln_C1j_lc;
2155     L4ieee_Q15std_logic_arith_Y3shr_i368_C11control_msb=(L4ieee_Q15std_logic_arith_Y3shr_i368_C5count.info->length-1);
2156     L4ieee_Q15std_logic_arith_Y3shr_i368_V7control.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,L4ieee_Q15std_logic_arith_Y3shr_i368_C11control_msb,downto,0,0)),enumeration(0));
2157     L4ieee_Q15std_logic_arith_Y3shr_i368_C10result_msb=(L4ieee_Q15std_logic_arith_Y3shr_i368_C3arg.info->length-1);
2158     L4ieee_Q15std_logic_arith_Y3shr_i368_I5rtype_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7integer_INFO),L4ieee_Q15std_logic_arith_Y3shr_i368_C10result_msb,downto,0,-1);
2159     L4ieee_Q15std_logic_arith_Y3shr_i368_V4temp.init((&L4ieee_Q15std_logic_arith_Y3shr_i368_I5rtype_INFO),enumeration(0));
2160     L4ieee_Q15std_logic_arith_Y3shr_i368_V6result.init((&L4ieee_Q15std_logic_arith_Y3shr_i368_I5rtype_INFO),enumeration(0));
2161     L4ieee_Q15std_logic_arith_Y3shr_i368_V8sign_bit=enumeration(0);
2162     L4ieee_Q15std_logic_arith_Y3shr_i368_V7control=L4ieee_W15std_logic_arith_Y11make_binary_i55 (L4ieee_Q15std_logic_arith_Y3shr_i368_C5count);
2163     if((L4ieee_Q15std_logic_arith_Y3shr_i368_V7control[0]==enumeration(1))) {
2164       for (L4ieee_Q15std_logic_arith_Y3shr_i368_L5730ln_C1j=L4ieee_Q15std_logic_arith_Y3shr_i368_C10result_msb,L4ieee_Q15std_logic_arith_Y3shr_i368_L5730ln_C1j_lc=down_range_to_length<integer>(L4ieee_Q15std_logic_arith_Y3shr_i368_C10result_msb,0); L4ieee_Q15std_logic_arith_Y3shr_i368_L5730ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y3shr_i368_L5730ln_C1j--,L4ieee_Q15std_logic_arith_Y3shr_i368_L5730ln_C1j_lc--) {
2165         L4ieee_Q15std_logic_arith_Y3shr_i368_V6result[L4ieee_Q15std_logic_arith_Y3shr_i368_L5730ln_C1j]=enumeration(1);
2166       }
2167     } else {
2168       L4ieee_Q15std_logic_arith_Y3shr_i368_V6result=L4ieee_Q15std_logic_arith_Y3shr_i368_C3arg;
2169       L4ieee_Q15std_logic_arith_Y3shr_i368_V8sign_bit=L4ieee_Q15std_logic_arith_Y3shr_i368_C3arg[L4ieee_Q15std_logic_arith_Y3shr_i368_C3arg.info->left_bound];
2170       for (L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_C1i=0,L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_C1i_lc=up_range_to_length<integer>(0,L4ieee_Q15std_logic_arith_Y3shr_i368_C11control_msb); L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_C1i_lc!=0; L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_C1i++,L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_C1i_lc--) {
2171         if((L4ieee_Q15std_logic_arith_Y3shr_i368_V7control[L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_C1i]==enumeration(3))) {
2172           for (L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_L5734ln_C1j=L4ieee_Q15std_logic_arith_Y3shr_i368_C10result_msb,L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_L5734ln_C1j_lc=down_range_to_length<integer>(L4ieee_Q15std_logic_arith_Y3shr_i368_C10result_msb,0); L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_L5734ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_L5734ln_C1j--,L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_L5734ln_C1j_lc--) {
2173             L4ieee_Q15std_logic_arith_Y3shr_i368_V4temp[L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_L5734ln_C1j]=L4ieee_Q15std_logic_arith_Y3shr_i368_V8sign_bit;
2174           }
2175           if((op_power(2,L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_C1i)<=L4ieee_Q15std_logic_arith_Y3shr_i368_C10result_msb)) {
2176             array_alias<L4ieee_Q15std_logic_arith_Y3shr_i368_T5rtype >(new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y3shr_i368_C10result_msb-op_power(2,L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_C1i)),downto,0,0),&L4ieee_Q15std_logic_arith_Y3shr_i368_V4temp[(L4ieee_Q15std_logic_arith_Y3shr_i368_C10result_msb-op_power(2,L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_C1i))])=array_alias<L4ieee_Q15std_logic_arith_Y3shr_i368_T5rtype >(new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,L4ieee_Q15std_logic_arith_Y3shr_i368_C10result_msb,downto,op_power(2,L4ieee_Q15std_logic_arith_Y3shr_i368_L5732ln_C1i),0),&L4ieee_Q15std_logic_arith_Y3shr_i368_V6result[L4ieee_Q15std_logic_arith_Y3shr_i368_C10result_msb]);
2177           }
2178           L4ieee_Q15std_logic_arith_Y3shr_i368_V6result=L4ieee_Q15std_logic_arith_Y3shr_i368_V4temp;
2179         }
2180       }
2181     }
2182     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_Q15std_logic_arith_Y3shr_i368_V6result);
2183 }
2184 /* Implementation of subprogram :ieee:std_logic_arith:conv_integer */
L4ieee_Q15std_logic_arith_Y12conv_integer_i370(const integer L4ieee_Q15std_logic_arith_Y12conv_integer_i370_C3arg)2185 integer L4ieee_Q15std_logic_arith_Y12conv_integer_i370(const integer L4ieee_Q15std_logic_arith_Y12conv_integer_i370_C3arg)
2186 {
2187     return L4ieee_Q15std_logic_arith_Y12conv_integer_i370_C3arg;
2188 }
2189 enumeration L4ieee_W15std_logic_arith_itn85_lit[]={65,82,71,32,105,115,32,116,111,111,32,108,97,114,103,101,32,105,110,32,67,79,78,86,95,73,78,84,69,71,69,82};
2190 enumeration L4ieee_W15std_logic_arith_itn86_lit[]={67,79,78,86,95,73,78,84,69,71,69,82,58,32,84,104,101,114,101,32,105,115,32,97,110,32,39,85,39,124,39,88,39,124,39,87,39,124,39,90,39,124,39,45,39,32,105,110,32,97,110,32,97,114,105,116,104,109,101,116,105,99,32,111,112,101,114,97,110,100,44,32,97,110,100,32,105,116,32,104,97,115,32,98,101,101,110,32,99,111,110,118,101,114,116,101,100,32,116,111,32,48,46};
2191 /* Implementation of subprogram :ieee:std_logic_arith:conv_integer */
L4ieee_Q15std_logic_arith_Y12conv_integer_i372(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y12conv_integer_i372_C3arg)2192 integer L4ieee_Q15std_logic_arith_Y12conv_integer_i372(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y12conv_integer_i372_C3arg)
2193 {
2194     integer L4ieee_Q15std_logic_arith_Y12conv_integer_i372_V6result;
2195     enumeration L4ieee_Q15std_logic_arith_Y12conv_integer_i372_V3tmp;
2196     integer L4ieee_Q15std_logic_arith_Y12conv_integer_i372_L5742ln_C1i,L4ieee_Q15std_logic_arith_Y12conv_integer_i372_L5742ln_C1i_lc,L4ieee_Q15std_logic_arith_Y12conv_integer_i372_L5742ln_C1i_ls;
2197     L4ieee_Q15std_logic_arith_Y12conv_integer_i372_V6result=-2147483648LL;
2198     L4ieee_Q15std_logic_arith_Y12conv_integer_i372_V3tmp=enumeration(0);
2199     if(!(L4ieee_Q15std_logic_arith_Y12conv_integer_i372_C3arg.info->length<=31))
2200       report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+31,0),L4ieee_W15std_logic_arith_itn85_lit),enumeration(3));
2201     L4ieee_Q15std_logic_arith_Y12conv_integer_i372_V6result=0;
2202     for (L4ieee_Q15std_logic_arith_Y12conv_integer_i372_L5742ln_C1i=L4ieee_Q15std_logic_arith_Y12conv_integer_i372_C3arg.info->left_bound,L4ieee_Q15std_logic_arith_Y12conv_integer_i372_L5742ln_C1i_ls=L4ieee_Q15std_logic_arith_Y12conv_integer_i372_C3arg.info->index_direction==to?+1:-1,L4ieee_Q15std_logic_arith_Y12conv_integer_i372_L5742ln_C1i_lc=range_to_length<integer>(L4ieee_Q15std_logic_arith_Y12conv_integer_i372_C3arg.info->left_bound,L4ieee_Q15std_logic_arith_Y12conv_integer_i372_C3arg.info->index_direction,L4ieee_Q15std_logic_arith_Y12conv_integer_i372_C3arg.info->right_bound);L4ieee_Q15std_logic_arith_Y12conv_integer_i372_L5742ln_C1i_lc!=0; L4ieee_Q15std_logic_arith_Y12conv_integer_i372_L5742ln_C1i+=L4ieee_Q15std_logic_arith_Y12conv_integer_i372_L5742ln_C1i_ls,L4ieee_Q15std_logic_arith_Y12conv_integer_i372_L5742ln_C1i_lc--) {
2203       L4ieee_Q15std_logic_arith_Y12conv_integer_i372_V6result=(L4ieee_Q15std_logic_arith_Y12conv_integer_i372_V6result*2);
2204       L4ieee_Q15std_logic_arith_Y12conv_integer_i372_V3tmp=L4ieee_W15std_logic_arith_C10tbl_binary[L4ieee_Q15std_logic_arith_Y12conv_integer_i372_C3arg[L4ieee_Q15std_logic_arith_Y12conv_integer_i372_L5742ln_C1i]];
2205       if((L4ieee_Q15std_logic_arith_Y12conv_integer_i372_V3tmp==enumeration(3))) {
2206         L4ieee_Q15std_logic_arith_Y12conv_integer_i372_V6result=(L4ieee_Q15std_logic_arith_Y12conv_integer_i372_V6result+1);
2207       } else {
2208         if((L4ieee_Q15std_logic_arith_Y12conv_integer_i372_V3tmp==enumeration(1))) {
2209           if(!enumeration(0))
2210             report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+102,0),L4ieee_W15std_logic_arith_itn86_lit),enumeration(1));
2211         }
2212       }
2213     }
2214     return L4ieee_Q15std_logic_arith_Y12conv_integer_i372_V6result;
2215 }
2216 enumeration L4ieee_W15std_logic_arith_itn87_lit[]={65,82,71,32,105,115,32,116,111,111,32,108,97,114,103,101,32,105,110,32,67,79,78,86,95,73,78,84,69,71,69,82};
2217 enumeration L4ieee_W15std_logic_arith_itn88_lit[]={67,79,78,86,95,73,78,84,69,71,69,82,58,32,84,104,101,114,101,32,105,115,32,97,110,32,39,85,39,124,39,88,39,124,39,87,39,124,39,90,39,124,39,45,39,32,105,110,32,97,110,32,97,114,105,116,104,109,101,116,105,99,32,111,112,101,114,97,110,100,44,32,97,110,100,32,105,116,32,104,97,115,32,98,101,101,110,32,99,111,110,118,101,114,116,101,100,32,116,111,32,48,46};
2218 /* Implementation of subprogram :ieee:std_logic_arith:conv_integer */
L4ieee_Q15std_logic_arith_Y12conv_integer_i374(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y12conv_integer_i374_C3arg)2219 integer L4ieee_Q15std_logic_arith_Y12conv_integer_i374(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y12conv_integer_i374_C3arg)
2220 {
2221     integer L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V6result;
2222     enumeration L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V3tmp;
2223     integer L4ieee_Q15std_logic_arith_Y12conv_integer_i374_L5748ln_C1i,L4ieee_Q15std_logic_arith_Y12conv_integer_i374_L5748ln_C1i_lc,L4ieee_Q15std_logic_arith_Y12conv_integer_i374_L5748ln_C1i_ls;
2224     L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V6result=-2147483648LL;
2225     L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V3tmp=enumeration(0);
2226     if(!(L4ieee_Q15std_logic_arith_Y12conv_integer_i374_C3arg.info->length<=32))
2227       report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+31,0),L4ieee_W15std_logic_arith_itn87_lit),enumeration(3));
2228     L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V6result=0;
2229     for (L4ieee_Q15std_logic_arith_Y12conv_integer_i374_L5748ln_C1i=L4ieee_Q15std_logic_arith_Y12conv_integer_i374_C3arg.info->left_bound,L4ieee_Q15std_logic_arith_Y12conv_integer_i374_L5748ln_C1i_ls=L4ieee_Q15std_logic_arith_Y12conv_integer_i374_C3arg.info->index_direction==to?+1:-1,L4ieee_Q15std_logic_arith_Y12conv_integer_i374_L5748ln_C1i_lc=range_to_length<integer>(L4ieee_Q15std_logic_arith_Y12conv_integer_i374_C3arg.info->left_bound,L4ieee_Q15std_logic_arith_Y12conv_integer_i374_C3arg.info->index_direction,L4ieee_Q15std_logic_arith_Y12conv_integer_i374_C3arg.info->right_bound);L4ieee_Q15std_logic_arith_Y12conv_integer_i374_L5748ln_C1i_lc!=0; L4ieee_Q15std_logic_arith_Y12conv_integer_i374_L5748ln_C1i+=L4ieee_Q15std_logic_arith_Y12conv_integer_i374_L5748ln_C1i_ls,L4ieee_Q15std_logic_arith_Y12conv_integer_i374_L5748ln_C1i_lc--) {
2230       if((L4ieee_Q15std_logic_arith_Y12conv_integer_i374_L5748ln_C1i!=L4ieee_Q15std_logic_arith_Y12conv_integer_i374_C3arg.info->left_bound)) {
2231         L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V6result=(L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V6result*2);
2232         L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V3tmp=L4ieee_W15std_logic_arith_C10tbl_binary[L4ieee_Q15std_logic_arith_Y12conv_integer_i374_C3arg[L4ieee_Q15std_logic_arith_Y12conv_integer_i374_L5748ln_C1i]];
2233         if((L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V3tmp==enumeration(3))) {
2234           L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V6result=(L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V6result+1);
2235         } else {
2236           if((L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V3tmp==enumeration(1))) {
2237             if(!enumeration(0))
2238               report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+102,0),L4ieee_W15std_logic_arith_itn88_lit),enumeration(1));
2239           }
2240         }
2241       }
2242     }
2243     L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V3tmp=L4ieee_W15std_logic_arith_Y11make_binary_i52 (L4ieee_Q15std_logic_arith_Y12conv_integer_i374_C3arg[L4ieee_Q15std_logic_arith_Y12conv_integer_i374_C3arg.info->left_bound]);
2244     if((L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V3tmp==enumeration(3))) {
2245       if((L4ieee_Q15std_logic_arith_Y12conv_integer_i374_C3arg.info->length==32)) {
2246         L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V6result=((L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V6result-1073741824)-1073741824);
2247       } else {
2248         L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V6result=(L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V6result-op_power(2,(L4ieee_Q15std_logic_arith_Y12conv_integer_i374_C3arg.info->length-1)));
2249       }
2250     }
2251     return L4ieee_Q15std_logic_arith_Y12conv_integer_i374_V6result;
2252 }
2253 enumeration L4ieee_W15std_logic_arith_itn89_lit[]={67,79,78,86,95,73,78,84,69,71,69,82,58,32,84,104,101,114,101,32,105,115,32,97,110,32,39,85,39,124,39,88,39,124,39,87,39,124,39,90,39,124,39,45,39,32,105,110,32,97,110,32,97,114,105,116,104,109,101,116,105,99,32,111,112,101,114,97,110,100,44,32,97,110,100,32,105,116,32,104,97,115,32,98,101,101,110,32,99,111,110,118,101,114,116,101,100,32,116,111,32,48,46};
2254 /* Implementation of subprogram :ieee:std_logic_arith:conv_integer */
L4ieee_Q15std_logic_arith_Y12conv_integer_i376(const enumeration L4ieee_Q15std_logic_arith_Y12conv_integer_i376_C3arg)2255 integer L4ieee_Q15std_logic_arith_Y12conv_integer_i376(const enumeration L4ieee_Q15std_logic_arith_Y12conv_integer_i376_C3arg)
2256 {
2257     enumeration L4ieee_Q15std_logic_arith_Y12conv_integer_i376_V3tmp;
2258     integer L4ieee_Q15std_logic_arith_Y12conv_integer_i376_V6result;
2259     L4ieee_Q15std_logic_arith_Y12conv_integer_i376_V3tmp=enumeration(0);
2260     L4ieee_Q15std_logic_arith_Y12conv_integer_i376_V6result=-2147483648LL;
2261     L4ieee_Q15std_logic_arith_Y12conv_integer_i376_V3tmp=L4ieee_W15std_logic_arith_C10tbl_binary[L4ieee_Q15std_logic_arith_Y12conv_integer_i376_C3arg];
2262     if((L4ieee_Q15std_logic_arith_Y12conv_integer_i376_V3tmp==enumeration(3))) {
2263       L4ieee_Q15std_logic_arith_Y12conv_integer_i376_V6result=1;
2264     } else {
2265       if((L4ieee_Q15std_logic_arith_Y12conv_integer_i376_V3tmp==enumeration(1))) {
2266         if(!enumeration(0))
2267           report(array_alias<L3std_Q8standard_T6string >(new array_info((&L3std_Q8standard_I6string_INFO)->element_type,(&L3std_Q8standard_I6string_INFO)->index_type,1,to,1+102,0),L4ieee_W15std_logic_arith_itn89_lit),enumeration(1));
2268         L4ieee_Q15std_logic_arith_Y12conv_integer_i376_V6result=0;
2269       } else {
2270         L4ieee_Q15std_logic_arith_Y12conv_integer_i376_V6result=0;
2271       }
2272     }
2273     return L4ieee_Q15std_logic_arith_Y12conv_integer_i376_V6result;
2274 }
2275 /* Implementation of subprogram :ieee:std_logic_arith:conv_unsigned */
L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379(const integer L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_C3arg,const integer L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_C4size)2276 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379(const integer L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_C3arg,const integer L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_C4size)
2277 {
2278     L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_V6result;
2279     integer L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_V4temp,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_L5759ln_C1i,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_L5759ln_C1i_lc;
2280     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_V6result.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_C4size-1),downto,0,0)),enumeration(0));
2281     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_V4temp=-2147483648LL;
2282     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_V4temp=L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_C3arg;
2283     for (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_L5759ln_C1i=0,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_L5759ln_C1i_lc=up_range_to_length<integer>(0,(L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_C4size-1)); L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_L5759ln_C1i_lc!=0; L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_L5759ln_C1i++,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_L5759ln_C1i_lc--) {
2284       if((op_mod(L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_V4temp,2)==1)) {
2285         L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_V6result[L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_L5759ln_C1i]=enumeration(3);
2286       } else {
2287         L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_V6result[L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_L5759ln_C1i]=enumeration(2);
2288       }
2289       if((L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_V4temp>0)) {
2290         L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_V4temp=(L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_V4temp/2);
2291       } else {
2292         L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_V4temp=((L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_V4temp-1)/2);
2293       }
2294     }
2295     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i379_V6result);
2296 }
2297 /* Implementation of subprogram :ieee:std_logic_arith:conv_unsigned */
L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C3arg,const integer L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C4size)2298 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C3arg,const integer L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C4size)
2299 {
2300     integer L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C3msb;
2301     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_I5rtype L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_I5rtype_INFO;
2302     L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_V10new_bounds;
2303     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_T5rtype L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_V6result;
2304     integer L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_L5768ln_C1j,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_L5768ln_C1j_lc,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_L5770ln_C1j,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_L5770ln_C1j_lc;
2305     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C3msb=(L4ieee_W15std_logic_arith_Y3min_i12 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C3arg.info->length,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C4size)-1);
2306     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_I5rtype_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7integer_INFO),(L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C4size-1),downto,0,-1);
2307     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_V10new_bounds.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C3arg.info->length-1),downto,0,0)),enumeration(0));
2308     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_V6result.init((&L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_I5rtype_INFO),enumeration(0));
2309     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_V10new_bounds=L4ieee_W15std_logic_arith_Y11make_binary_i55 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C3arg);
2310     if((L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_V10new_bounds[0]==enumeration(1))) {
2311       for (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_L5768ln_C1j=(L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C4size-1),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_L5768ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C4size-1),0); L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_L5768ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_L5768ln_C1j--,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_L5768ln_C1j_lc--) {
2312         L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_V6result[L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_L5768ln_C1j]=enumeration(1);
2313       }
2314     } else {
2315       for (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_L5770ln_C1j=(L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C4size-1),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_L5770ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C4size-1),0); L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_L5770ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_L5770ln_C1j--,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_L5770ln_C1j_lc--) {
2316         L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_V6result[L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_L5770ln_C1j]=enumeration(2);
2317       }
2318       array_alias<L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_T5rtype >(new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C3msb,downto,0,0),&L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_V6result[L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C3msb])=array_alias<L4ieee_Q15std_logic_arith_T8unsigned >(new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C3msb,downto,0,0),&L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_V10new_bounds[L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_C3msb]);
2319     }
2320     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i382_V6result);
2321 }
2322 /* Implementation of subprogram :ieee:std_logic_arith:conv_unsigned */
L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C3arg,const integer L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C4size)2323 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C3arg,const integer L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C4size)
2324 {
2325     integer L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C3msb;
2326     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_I5rtype L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_I5rtype_INFO;
2327     L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_V10new_bounds;
2328     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_T5rtype L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_V6result;
2329     integer L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_L5779ln_C1j,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_L5779ln_C1j_lc,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_L5781ln_C1j,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_L5781ln_C1j_lc;
2330     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C3msb=(L4ieee_W15std_logic_arith_Y3min_i12 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C3arg.info->length,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C4size)-1);
2331     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_I5rtype_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7integer_INFO),(L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C4size-1),downto,0,-1);
2332     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_V10new_bounds.init((new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C3arg.info->length-1),downto,0,0)),enumeration(0));
2333     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_V6result.init((&L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_I5rtype_INFO),enumeration(0));
2334     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_V10new_bounds=L4ieee_W15std_logic_arith_Y11make_binary_i71 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C3arg);
2335     if((L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_V10new_bounds[0]==enumeration(1))) {
2336       for (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_L5779ln_C1j=(L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C4size-1),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_L5779ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C4size-1),0); L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_L5779ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_L5779ln_C1j--,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_L5779ln_C1j_lc--) {
2337         L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_V6result[L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_L5779ln_C1j]=enumeration(1);
2338       }
2339     } else {
2340       for (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_L5781ln_C1j=(L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C4size-1),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_L5781ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C4size-1),0); L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_L5781ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_L5781ln_C1j--,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_L5781ln_C1j_lc--) {
2341         L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_V6result[L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_L5781ln_C1j]=L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_V10new_bounds[L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_V10new_bounds.info->left_bound];
2342       }
2343       array_alias<L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_T5rtype >(new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C3msb,downto,0,0),&L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_V6result[L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C3msb])=array_alias<L4ieee_Q15std_logic_arith_T8unsigned >(new array_info((&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I8unsigned_INFO)->index_type,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C3msb,downto,0,0),&L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_V10new_bounds[L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_C3msb]);
2344     }
2345     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i385_V6result);
2346 }
2347 /* Implementation of subprogram :ieee:std_logic_arith:conv_unsigned */
L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388(const enumeration L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_C3arg,const integer L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_C4size)2348 L4ieee_Q15std_logic_arith_T8unsigned L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388(const enumeration L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_C3arg,const integer L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_C4size)
2349 {
2350     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_I5rtype L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_I5rtype_INFO;
2351     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_T5rtype L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_V6result;
2352     integer L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_L5788ln_C1j,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_L5788ln_C1j_lc,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_L5790ln_C1j,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_L5790ln_C1j_lc;
2353     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_I5rtype_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7integer_INFO),(L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_C4size-1),downto,0,-1);
2354     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_V6result.init((&L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_I5rtype_INFO),enumeration(0));
2355     for (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_L5788ln_C1j=(L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_C4size-1),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_L5788ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_C4size-1),0); L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_L5788ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_L5788ln_C1j--,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_L5788ln_C1j_lc--) {
2356       L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_V6result[L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_L5788ln_C1j]=enumeration(2);
2357     }
2358     L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_V6result[0]=L4ieee_W15std_logic_arith_Y11make_binary_i52 (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_C3arg);
2359     if((L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_V6result[0]==enumeration(1))) {
2360       for (L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_L5790ln_C1j=(L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_C4size-1),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_L5790ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_C4size-1),0); L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_L5790ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_L5790ln_C1j--,L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_L5790ln_C1j_lc--) {
2361         L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_V6result[L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_L5790ln_C1j]=enumeration(1);
2362       }
2363     }
2364     return array_alias<L4ieee_Q15std_logic_arith_T8unsigned >((&L4ieee_Q15std_logic_arith_I8unsigned_INFO),L4ieee_Q15std_logic_arith_Y13conv_unsigned_i388_V6result);
2365 }
2366 /* Implementation of subprogram :ieee:std_logic_arith:conv_signed */
L4ieee_Q15std_logic_arith_Y11conv_signed_i391(const integer L4ieee_Q15std_logic_arith_Y11conv_signed_i391_C3arg,const integer L4ieee_Q15std_logic_arith_Y11conv_signed_i391_C4size)2367 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y11conv_signed_i391(const integer L4ieee_Q15std_logic_arith_Y11conv_signed_i391_C3arg,const integer L4ieee_Q15std_logic_arith_Y11conv_signed_i391_C4size)
2368 {
2369     L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y11conv_signed_i391_V6result;
2370     integer L4ieee_Q15std_logic_arith_Y11conv_signed_i391_V4temp,L4ieee_Q15std_logic_arith_Y11conv_signed_i391_L5797ln_C1i,L4ieee_Q15std_logic_arith_Y11conv_signed_i391_L5797ln_C1i_lc;
2371     L4ieee_Q15std_logic_arith_Y11conv_signed_i391_V6result.init((new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y11conv_signed_i391_C4size-1),downto,0,0)),enumeration(0));
2372     L4ieee_Q15std_logic_arith_Y11conv_signed_i391_V4temp=-2147483648LL;
2373     L4ieee_Q15std_logic_arith_Y11conv_signed_i391_V4temp=L4ieee_Q15std_logic_arith_Y11conv_signed_i391_C3arg;
2374     for (L4ieee_Q15std_logic_arith_Y11conv_signed_i391_L5797ln_C1i=0,L4ieee_Q15std_logic_arith_Y11conv_signed_i391_L5797ln_C1i_lc=up_range_to_length<integer>(0,(L4ieee_Q15std_logic_arith_Y11conv_signed_i391_C4size-1)); L4ieee_Q15std_logic_arith_Y11conv_signed_i391_L5797ln_C1i_lc!=0; L4ieee_Q15std_logic_arith_Y11conv_signed_i391_L5797ln_C1i++,L4ieee_Q15std_logic_arith_Y11conv_signed_i391_L5797ln_C1i_lc--) {
2375       if((op_mod(L4ieee_Q15std_logic_arith_Y11conv_signed_i391_V4temp,2)==1)) {
2376         L4ieee_Q15std_logic_arith_Y11conv_signed_i391_V6result[L4ieee_Q15std_logic_arith_Y11conv_signed_i391_L5797ln_C1i]=enumeration(3);
2377       } else {
2378         L4ieee_Q15std_logic_arith_Y11conv_signed_i391_V6result[L4ieee_Q15std_logic_arith_Y11conv_signed_i391_L5797ln_C1i]=enumeration(2);
2379       }
2380       if((L4ieee_Q15std_logic_arith_Y11conv_signed_i391_V4temp>0)) {
2381         L4ieee_Q15std_logic_arith_Y11conv_signed_i391_V4temp=(L4ieee_Q15std_logic_arith_Y11conv_signed_i391_V4temp/2);
2382       } else {
2383         L4ieee_Q15std_logic_arith_Y11conv_signed_i391_V4temp=((L4ieee_Q15std_logic_arith_Y11conv_signed_i391_V4temp-1)/2);
2384       }
2385     }
2386     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i391_V6result);
2387 }
2388 /* Implementation of subprogram :ieee:std_logic_arith:conv_signed */
L4ieee_Q15std_logic_arith_Y11conv_signed_i394(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C3arg,const integer L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C4size)2389 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y11conv_signed_i394(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C3arg,const integer L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C4size)
2390 {
2391     integer L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C3msb;
2392     L4ieee_Q15std_logic_arith_Y11conv_signed_i394_I5rtype L4ieee_Q15std_logic_arith_Y11conv_signed_i394_I5rtype_INFO;
2393     L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y11conv_signed_i394_V10new_bounds;
2394     L4ieee_Q15std_logic_arith_Y11conv_signed_i394_T5rtype L4ieee_Q15std_logic_arith_Y11conv_signed_i394_V6result;
2395     integer L4ieee_Q15std_logic_arith_Y11conv_signed_i394_L5806ln_C1j,L4ieee_Q15std_logic_arith_Y11conv_signed_i394_L5806ln_C1j_lc,L4ieee_Q15std_logic_arith_Y11conv_signed_i394_L5808ln_C1j,L4ieee_Q15std_logic_arith_Y11conv_signed_i394_L5808ln_C1j_lc;
2396     L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C3msb=(L4ieee_W15std_logic_arith_Y3min_i12 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C3arg.info->length,L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C4size)-1);
2397     L4ieee_Q15std_logic_arith_Y11conv_signed_i394_I5rtype_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7integer_INFO),(L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C4size-1),downto,0,-1);
2398     L4ieee_Q15std_logic_arith_Y11conv_signed_i394_V10new_bounds.init((new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C3arg.info->length-1),downto,0,0)),enumeration(0));
2399     L4ieee_Q15std_logic_arith_Y11conv_signed_i394_V6result.init((&L4ieee_Q15std_logic_arith_Y11conv_signed_i394_I5rtype_INFO),enumeration(0));
2400     L4ieee_Q15std_logic_arith_Y11conv_signed_i394_V10new_bounds=L4ieee_W15std_logic_arith_Y11make_binary_i63 (L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C3arg);
2401     if((L4ieee_Q15std_logic_arith_Y11conv_signed_i394_V10new_bounds[0]==enumeration(1))) {
2402       for (L4ieee_Q15std_logic_arith_Y11conv_signed_i394_L5806ln_C1j=(L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C4size-1),L4ieee_Q15std_logic_arith_Y11conv_signed_i394_L5806ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C4size-1),0); L4ieee_Q15std_logic_arith_Y11conv_signed_i394_L5806ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y11conv_signed_i394_L5806ln_C1j--,L4ieee_Q15std_logic_arith_Y11conv_signed_i394_L5806ln_C1j_lc--) {
2403         L4ieee_Q15std_logic_arith_Y11conv_signed_i394_V6result[L4ieee_Q15std_logic_arith_Y11conv_signed_i394_L5806ln_C1j]=enumeration(1);
2404       }
2405     } else {
2406       for (L4ieee_Q15std_logic_arith_Y11conv_signed_i394_L5808ln_C1j=(L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C4size-1),L4ieee_Q15std_logic_arith_Y11conv_signed_i394_L5808ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C4size-1),0); L4ieee_Q15std_logic_arith_Y11conv_signed_i394_L5808ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y11conv_signed_i394_L5808ln_C1j--,L4ieee_Q15std_logic_arith_Y11conv_signed_i394_L5808ln_C1j_lc--) {
2407         L4ieee_Q15std_logic_arith_Y11conv_signed_i394_V6result[L4ieee_Q15std_logic_arith_Y11conv_signed_i394_L5808ln_C1j]=enumeration(2);
2408       }
2409       array_alias<L4ieee_Q15std_logic_arith_Y11conv_signed_i394_T5rtype >(new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C3msb,downto,0,0),&L4ieee_Q15std_logic_arith_Y11conv_signed_i394_V6result[L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C3msb])=array_alias<L4ieee_Q15std_logic_arith_T6signed >(new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C3msb,downto,0,0),&L4ieee_Q15std_logic_arith_Y11conv_signed_i394_V10new_bounds[L4ieee_Q15std_logic_arith_Y11conv_signed_i394_C3msb]);
2410     }
2411     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i394_V6result);
2412 }
2413 /* Implementation of subprogram :ieee:std_logic_arith:conv_signed */
L4ieee_Q15std_logic_arith_Y11conv_signed_i397(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C3arg,const integer L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C4size)2414 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y11conv_signed_i397(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C3arg,const integer L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C4size)
2415 {
2416     integer L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C3msb;
2417     L4ieee_Q15std_logic_arith_Y11conv_signed_i397_I5rtype L4ieee_Q15std_logic_arith_Y11conv_signed_i397_I5rtype_INFO;
2418     L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y11conv_signed_i397_V10new_bounds;
2419     L4ieee_Q15std_logic_arith_Y11conv_signed_i397_T5rtype L4ieee_Q15std_logic_arith_Y11conv_signed_i397_V6result;
2420     integer L4ieee_Q15std_logic_arith_Y11conv_signed_i397_L5817ln_C1j,L4ieee_Q15std_logic_arith_Y11conv_signed_i397_L5817ln_C1j_lc,L4ieee_Q15std_logic_arith_Y11conv_signed_i397_L5819ln_C1j,L4ieee_Q15std_logic_arith_Y11conv_signed_i397_L5819ln_C1j_lc;
2421     L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C3msb=(L4ieee_W15std_logic_arith_Y3min_i12 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C3arg.info->length,L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C4size)-1);
2422     L4ieee_Q15std_logic_arith_Y11conv_signed_i397_I5rtype_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7integer_INFO),(L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C4size-1),downto,0,-1);
2423     L4ieee_Q15std_logic_arith_Y11conv_signed_i397_V10new_bounds.init((new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C3arg.info->length-1),downto,0,0)),enumeration(0));
2424     L4ieee_Q15std_logic_arith_Y11conv_signed_i397_V6result.init((&L4ieee_Q15std_logic_arith_Y11conv_signed_i397_I5rtype_INFO),enumeration(0));
2425     L4ieee_Q15std_logic_arith_Y11conv_signed_i397_V10new_bounds=L4ieee_W15std_logic_arith_Y11make_binary_i79 (L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C3arg);
2426     if((L4ieee_Q15std_logic_arith_Y11conv_signed_i397_V10new_bounds[0]==enumeration(1))) {
2427       for (L4ieee_Q15std_logic_arith_Y11conv_signed_i397_L5817ln_C1j=(L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C4size-1),L4ieee_Q15std_logic_arith_Y11conv_signed_i397_L5817ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C4size-1),0); L4ieee_Q15std_logic_arith_Y11conv_signed_i397_L5817ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y11conv_signed_i397_L5817ln_C1j--,L4ieee_Q15std_logic_arith_Y11conv_signed_i397_L5817ln_C1j_lc--) {
2428         L4ieee_Q15std_logic_arith_Y11conv_signed_i397_V6result[L4ieee_Q15std_logic_arith_Y11conv_signed_i397_L5817ln_C1j]=enumeration(1);
2429       }
2430     } else {
2431       for (L4ieee_Q15std_logic_arith_Y11conv_signed_i397_L5819ln_C1j=(L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C4size-1),L4ieee_Q15std_logic_arith_Y11conv_signed_i397_L5819ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C4size-1),0); L4ieee_Q15std_logic_arith_Y11conv_signed_i397_L5819ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y11conv_signed_i397_L5819ln_C1j--,L4ieee_Q15std_logic_arith_Y11conv_signed_i397_L5819ln_C1j_lc--) {
2432         L4ieee_Q15std_logic_arith_Y11conv_signed_i397_V6result[L4ieee_Q15std_logic_arith_Y11conv_signed_i397_L5819ln_C1j]=L4ieee_Q15std_logic_arith_Y11conv_signed_i397_V10new_bounds[L4ieee_Q15std_logic_arith_Y11conv_signed_i397_V10new_bounds.info->left_bound];
2433       }
2434       array_alias<L4ieee_Q15std_logic_arith_Y11conv_signed_i397_T5rtype >(new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C3msb,downto,0,0),&L4ieee_Q15std_logic_arith_Y11conv_signed_i397_V6result[L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C3msb])=array_alias<L4ieee_Q15std_logic_arith_T6signed >(new array_info((&L4ieee_Q15std_logic_arith_I6signed_INFO)->element_type,(&L4ieee_Q15std_logic_arith_I6signed_INFO)->index_type,L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C3msb,downto,0,0),&L4ieee_Q15std_logic_arith_Y11conv_signed_i397_V10new_bounds[L4ieee_Q15std_logic_arith_Y11conv_signed_i397_C3msb]);
2435     }
2436     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i397_V6result);
2437 }
2438 /* Implementation of subprogram :ieee:std_logic_arith:conv_signed */
L4ieee_Q15std_logic_arith_Y11conv_signed_i400(const enumeration L4ieee_Q15std_logic_arith_Y11conv_signed_i400_C3arg,const integer L4ieee_Q15std_logic_arith_Y11conv_signed_i400_C4size)2439 L4ieee_Q15std_logic_arith_T6signed L4ieee_Q15std_logic_arith_Y11conv_signed_i400(const enumeration L4ieee_Q15std_logic_arith_Y11conv_signed_i400_C3arg,const integer L4ieee_Q15std_logic_arith_Y11conv_signed_i400_C4size)
2440 {
2441     L4ieee_Q15std_logic_arith_Y11conv_signed_i400_I5rtype L4ieee_Q15std_logic_arith_Y11conv_signed_i400_I5rtype_INFO;
2442     L4ieee_Q15std_logic_arith_Y11conv_signed_i400_T5rtype L4ieee_Q15std_logic_arith_Y11conv_signed_i400_V6result;
2443     integer L4ieee_Q15std_logic_arith_Y11conv_signed_i400_L5826ln_C1j,L4ieee_Q15std_logic_arith_Y11conv_signed_i400_L5826ln_C1j_lc,L4ieee_Q15std_logic_arith_Y11conv_signed_i400_L5828ln_C1j,L4ieee_Q15std_logic_arith_Y11conv_signed_i400_L5828ln_C1j_lc;
2444     L4ieee_Q15std_logic_arith_Y11conv_signed_i400_I5rtype_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7integer_INFO),(L4ieee_Q15std_logic_arith_Y11conv_signed_i400_C4size-1),downto,0,-1);
2445     L4ieee_Q15std_logic_arith_Y11conv_signed_i400_V6result.init((&L4ieee_Q15std_logic_arith_Y11conv_signed_i400_I5rtype_INFO),enumeration(0));
2446     for (L4ieee_Q15std_logic_arith_Y11conv_signed_i400_L5826ln_C1j=(L4ieee_Q15std_logic_arith_Y11conv_signed_i400_C4size-1),L4ieee_Q15std_logic_arith_Y11conv_signed_i400_L5826ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y11conv_signed_i400_C4size-1),0); L4ieee_Q15std_logic_arith_Y11conv_signed_i400_L5826ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y11conv_signed_i400_L5826ln_C1j--,L4ieee_Q15std_logic_arith_Y11conv_signed_i400_L5826ln_C1j_lc--) {
2447       L4ieee_Q15std_logic_arith_Y11conv_signed_i400_V6result[L4ieee_Q15std_logic_arith_Y11conv_signed_i400_L5826ln_C1j]=enumeration(2);
2448     }
2449     L4ieee_Q15std_logic_arith_Y11conv_signed_i400_V6result[0]=L4ieee_W15std_logic_arith_Y11make_binary_i52 (L4ieee_Q15std_logic_arith_Y11conv_signed_i400_C3arg);
2450     if((L4ieee_Q15std_logic_arith_Y11conv_signed_i400_V6result[0]==enumeration(1))) {
2451       for (L4ieee_Q15std_logic_arith_Y11conv_signed_i400_L5828ln_C1j=(L4ieee_Q15std_logic_arith_Y11conv_signed_i400_C4size-1),L4ieee_Q15std_logic_arith_Y11conv_signed_i400_L5828ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y11conv_signed_i400_C4size-1),0); L4ieee_Q15std_logic_arith_Y11conv_signed_i400_L5828ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y11conv_signed_i400_L5828ln_C1j--,L4ieee_Q15std_logic_arith_Y11conv_signed_i400_L5828ln_C1j_lc--) {
2452         L4ieee_Q15std_logic_arith_Y11conv_signed_i400_V6result[L4ieee_Q15std_logic_arith_Y11conv_signed_i400_L5828ln_C1j]=enumeration(1);
2453       }
2454     }
2455     return array_alias<L4ieee_Q15std_logic_arith_T6signed >((&L4ieee_Q15std_logic_arith_I6signed_INFO),L4ieee_Q15std_logic_arith_Y11conv_signed_i400_V6result);
2456 }
2457 /* Implementation of subprogram :ieee:std_logic_arith:conv_std_logic_vector */
L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403(const integer L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_C3arg,const integer L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_C4size)2458 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403(const integer L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_C3arg,const integer L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_C4size)
2459 {
2460     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_V6result;
2461     integer L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_V4temp,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_L5835ln_C1i,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_L5835ln_C1i_lc;
2462     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_V6result.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_C4size-1),downto,0,0)),enumeration(0));
2463     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_V4temp=-2147483648LL;
2464     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_V4temp=L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_C3arg;
2465     for (L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_L5835ln_C1i=0,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_L5835ln_C1i_lc=up_range_to_length<integer>(0,(L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_C4size-1)); L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_L5835ln_C1i_lc!=0; L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_L5835ln_C1i++,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_L5835ln_C1i_lc--) {
2466       if((op_mod(L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_V4temp,2)==1)) {
2467         L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_V6result[L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_L5835ln_C1i]=enumeration(3);
2468       } else {
2469         L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_V6result[L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_L5835ln_C1i]=enumeration(2);
2470       }
2471       if((L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_V4temp>0)) {
2472         L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_V4temp=(L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_V4temp/2);
2473       } else {
2474         L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_V4temp=((L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_V4temp-1)/2);
2475       }
2476     }
2477     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i403_V6result);
2478 }
2479 /* Implementation of subprogram :ieee:std_logic_arith:conv_std_logic_vector */
L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406(const L4ieee_Q15std_logic_arith_T8unsigned & L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C3arg,const integer L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C4size)2480 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406(const L4ieee_Q15std_logic_arith_T8unsigned &L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C3arg,const integer L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C4size)
2481 {
2482     integer L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C3msb;
2483     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_I5rtype L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_I5rtype_INFO;
2484     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_V10new_bounds;
2485     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_T5rtype L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_V6result;
2486     integer L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_L5844ln_C1j,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_L5844ln_C1j_lc,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_L5846ln_C1j,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_L5846ln_C1j_lc;
2487     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C3msb=(L4ieee_W15std_logic_arith_Y3min_i12 (L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C3arg.info->length,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C4size)-1);
2488     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_I5rtype_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7integer_INFO),(L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C4size-1),downto,0,-1);
2489     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_V10new_bounds.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C3arg.info->length-1),downto,0,0)),enumeration(0));
2490     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_V6result.init((&L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_I5rtype_INFO),enumeration(0));
2491     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_V10new_bounds=L4ieee_W15std_logic_arith_Y11make_binary_i95 (L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C3arg);
2492     if((L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_V10new_bounds[0]==enumeration(1))) {
2493       for (L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_L5844ln_C1j=(L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C4size-1),L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_L5844ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C4size-1),0); L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_L5844ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_L5844ln_C1j--,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_L5844ln_C1j_lc--) {
2494         L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_V6result[L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_L5844ln_C1j]=enumeration(1);
2495       }
2496     } else {
2497       for (L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_L5846ln_C1j=(L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C4size-1),L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_L5846ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C4size-1),0); L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_L5846ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_L5846ln_C1j--,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_L5846ln_C1j_lc--) {
2498         L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_V6result[L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_L5846ln_C1j]=enumeration(2);
2499       }
2500       array_alias<L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_T5rtype >(new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C3msb,downto,0,0),&L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_V6result[L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C3msb])=array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >(new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C3msb,downto,0,0),&L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_V10new_bounds[L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_C3msb]);
2501     }
2502     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i406_V6result);
2503 }
2504 /* Implementation of subprogram :ieee:std_logic_arith:conv_std_logic_vector */
L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409(const L4ieee_Q15std_logic_arith_T6signed & L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C3arg,const integer L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C4size)2505 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409(const L4ieee_Q15std_logic_arith_T6signed &L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C3arg,const integer L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C4size)
2506 {
2507     integer L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C3msb;
2508     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_I5rtype L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_I5rtype_INFO;
2509     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_V10new_bounds;
2510     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_T5rtype L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_V6result;
2511     integer L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_L5855ln_C1j,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_L5855ln_C1j_lc,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_L5857ln_C1j,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_L5857ln_C1j_lc;
2512     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C3msb=(L4ieee_W15std_logic_arith_Y3min_i12 (L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C3arg.info->length,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C4size)-1);
2513     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_I5rtype_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7integer_INFO),(L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C4size-1),downto,0,-1);
2514     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_V10new_bounds.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C3arg.info->length-1),downto,0,0)),enumeration(0));
2515     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_V6result.init((&L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_I5rtype_INFO),enumeration(0));
2516     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_V10new_bounds=L4ieee_W15std_logic_arith_Y11make_binary_i103 (L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C3arg);
2517     if((L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_V10new_bounds[0]==enumeration(1))) {
2518       for (L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_L5855ln_C1j=(L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C4size-1),L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_L5855ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C4size-1),0); L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_L5855ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_L5855ln_C1j--,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_L5855ln_C1j_lc--) {
2519         L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_V6result[L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_L5855ln_C1j]=enumeration(1);
2520       }
2521     } else {
2522       for (L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_L5857ln_C1j=(L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C4size-1),L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_L5857ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C4size-1),0); L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_L5857ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_L5857ln_C1j--,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_L5857ln_C1j_lc--) {
2523         L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_V6result[L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_L5857ln_C1j]=L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_V10new_bounds[L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_V10new_bounds.info->left_bound];
2524       }
2525       array_alias<L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_T5rtype >(new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C3msb,downto,0,0),&L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_V6result[L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C3msb])=array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >(new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C3msb,downto,0,0),&L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_V10new_bounds[L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_C3msb]);
2526     }
2527     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i409_V6result);
2528 }
2529 /* Implementation of subprogram :ieee:std_logic_arith:conv_std_logic_vector */
L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412(const enumeration L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_C3arg,const integer L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_C4size)2530 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412(const enumeration L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_C3arg,const integer L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_C4size)
2531 {
2532     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_I5rtype L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_I5rtype_INFO;
2533     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_T5rtype L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_V6result;
2534     integer L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_L5864ln_C1j,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_L5864ln_C1j_lc,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_L5866ln_C1j,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_L5866ln_C1j_lc;
2535     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_I5rtype_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7integer_INFO),(L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_C4size-1),downto,0,-1);
2536     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_V6result.init((&L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_I5rtype_INFO),enumeration(0));
2537     for (L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_L5864ln_C1j=(L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_C4size-1),L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_L5864ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_C4size-1),0); L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_L5864ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_L5864ln_C1j--,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_L5864ln_C1j_lc--) {
2538       L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_V6result[L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_L5864ln_C1j]=enumeration(2);
2539     }
2540     L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_V6result[0]=L4ieee_W15std_logic_arith_Y11make_binary_i52 (L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_C3arg);
2541     if((L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_V6result[0]==enumeration(1))) {
2542       for (L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_L5866ln_C1j=(L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_C4size-1),L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_L5866ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_C4size-1),0); L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_L5866ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_L5866ln_C1j--,L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_L5866ln_C1j_lc--) {
2543         L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_V6result[L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_L5866ln_C1j]=enumeration(1);
2544       }
2545     }
2546     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q15std_logic_arith_Y21conv_std_logic_vector_i412_V6result);
2547 }
2548 /* Implementation of subprogram :ieee:std_logic_arith:ext */
L4ieee_Q15std_logic_arith_Y3ext_i415(const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q15std_logic_arith_Y3ext_i415_C3arg,const integer L4ieee_Q15std_logic_arith_Y3ext_i415_C4size)2549 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y3ext_i415(const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q15std_logic_arith_Y3ext_i415_C3arg,const integer L4ieee_Q15std_logic_arith_Y3ext_i415_C4size)
2550 {
2551     integer L4ieee_Q15std_logic_arith_Y3ext_i415_C3msb;
2552     L4ieee_Q15std_logic_arith_Y3ext_i415_I5rtype L4ieee_Q15std_logic_arith_Y3ext_i415_I5rtype_INFO;
2553     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y3ext_i415_V10new_bounds;
2554     L4ieee_Q15std_logic_arith_Y3ext_i415_T5rtype L4ieee_Q15std_logic_arith_Y3ext_i415_V6result;
2555     integer L4ieee_Q15std_logic_arith_Y3ext_i415_L5875ln_C1j,L4ieee_Q15std_logic_arith_Y3ext_i415_L5875ln_C1j_lc,L4ieee_Q15std_logic_arith_Y3ext_i415_L5877ln_C1j,L4ieee_Q15std_logic_arith_Y3ext_i415_L5877ln_C1j_lc;
2556     L4ieee_Q15std_logic_arith_Y3ext_i415_C3msb=(L4ieee_W15std_logic_arith_Y3min_i12 (L4ieee_Q15std_logic_arith_Y3ext_i415_C3arg.info->length,L4ieee_Q15std_logic_arith_Y3ext_i415_C4size)-1);
2557     L4ieee_Q15std_logic_arith_Y3ext_i415_I5rtype_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7integer_INFO),(L4ieee_Q15std_logic_arith_Y3ext_i415_C4size-1),downto,0,-1);
2558     L4ieee_Q15std_logic_arith_Y3ext_i415_V10new_bounds.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y3ext_i415_C3arg.info->length-1),downto,0,0)),enumeration(0));
2559     L4ieee_Q15std_logic_arith_Y3ext_i415_V6result.init((&L4ieee_Q15std_logic_arith_Y3ext_i415_I5rtype_INFO),enumeration(0));
2560     L4ieee_Q15std_logic_arith_Y3ext_i415_V10new_bounds=L4ieee_W15std_logic_arith_Y11make_binary_i87 (L4ieee_Q15std_logic_arith_Y3ext_i415_C3arg);
2561     if((L4ieee_Q15std_logic_arith_Y3ext_i415_V10new_bounds[0]==enumeration(1))) {
2562       for (L4ieee_Q15std_logic_arith_Y3ext_i415_L5875ln_C1j=(L4ieee_Q15std_logic_arith_Y3ext_i415_C4size-1),L4ieee_Q15std_logic_arith_Y3ext_i415_L5875ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y3ext_i415_C4size-1),0); L4ieee_Q15std_logic_arith_Y3ext_i415_L5875ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y3ext_i415_L5875ln_C1j--,L4ieee_Q15std_logic_arith_Y3ext_i415_L5875ln_C1j_lc--) {
2563         L4ieee_Q15std_logic_arith_Y3ext_i415_V6result[L4ieee_Q15std_logic_arith_Y3ext_i415_L5875ln_C1j]=enumeration(1);
2564       }
2565     } else {
2566       for (L4ieee_Q15std_logic_arith_Y3ext_i415_L5877ln_C1j=(L4ieee_Q15std_logic_arith_Y3ext_i415_C4size-1),L4ieee_Q15std_logic_arith_Y3ext_i415_L5877ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y3ext_i415_C4size-1),0); L4ieee_Q15std_logic_arith_Y3ext_i415_L5877ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y3ext_i415_L5877ln_C1j--,L4ieee_Q15std_logic_arith_Y3ext_i415_L5877ln_C1j_lc--) {
2567         L4ieee_Q15std_logic_arith_Y3ext_i415_V6result[L4ieee_Q15std_logic_arith_Y3ext_i415_L5877ln_C1j]=enumeration(2);
2568       }
2569       array_alias<L4ieee_Q15std_logic_arith_Y3ext_i415_T5rtype >(new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,L4ieee_Q15std_logic_arith_Y3ext_i415_C3msb,downto,0,0),&L4ieee_Q15std_logic_arith_Y3ext_i415_V6result[L4ieee_Q15std_logic_arith_Y3ext_i415_C3msb])=array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >(new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,L4ieee_Q15std_logic_arith_Y3ext_i415_C3msb,downto,0,0),&L4ieee_Q15std_logic_arith_Y3ext_i415_V10new_bounds[L4ieee_Q15std_logic_arith_Y3ext_i415_C3msb]);
2570     }
2571     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q15std_logic_arith_Y3ext_i415_V6result);
2572 }
2573 /* Implementation of subprogram :ieee:std_logic_arith:sxt */
L4ieee_Q15std_logic_arith_Y3sxt_i418(const L4ieee_Q14std_logic_1164_T16std_logic_vector & L4ieee_Q15std_logic_arith_Y3sxt_i418_C3arg,const integer L4ieee_Q15std_logic_arith_Y3sxt_i418_C4size)2574 L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y3sxt_i418(const L4ieee_Q14std_logic_1164_T16std_logic_vector &L4ieee_Q15std_logic_arith_Y3sxt_i418_C3arg,const integer L4ieee_Q15std_logic_arith_Y3sxt_i418_C4size)
2575 {
2576     integer L4ieee_Q15std_logic_arith_Y3sxt_i418_C3msb;
2577     L4ieee_Q15std_logic_arith_Y3sxt_i418_I5rtype L4ieee_Q15std_logic_arith_Y3sxt_i418_I5rtype_INFO;
2578     L4ieee_Q14std_logic_1164_T16std_logic_vector L4ieee_Q15std_logic_arith_Y3sxt_i418_V10new_bounds;
2579     L4ieee_Q15std_logic_arith_Y3sxt_i418_T5rtype L4ieee_Q15std_logic_arith_Y3sxt_i418_V6result;
2580     integer L4ieee_Q15std_logic_arith_Y3sxt_i418_L5886ln_C1j,L4ieee_Q15std_logic_arith_Y3sxt_i418_L5886ln_C1j_lc,L4ieee_Q15std_logic_arith_Y3sxt_i418_L5888ln_C1j,L4ieee_Q15std_logic_arith_Y3sxt_i418_L5888ln_C1j_lc;
2581     L4ieee_Q15std_logic_arith_Y3sxt_i418_C3msb=(L4ieee_W15std_logic_arith_Y3min_i12 (L4ieee_Q15std_logic_arith_Y3sxt_i418_C3arg.info->length,L4ieee_Q15std_logic_arith_Y3sxt_i418_C4size)-1);
2582     L4ieee_Q15std_logic_arith_Y3sxt_i418_I5rtype_INFO.set((&L4ieee_Q14std_logic_1164_I9std_logic_INFO),(&L3std_Q8standard_I7integer_INFO),(L4ieee_Q15std_logic_arith_Y3sxt_i418_C4size-1),downto,0,-1);
2583     L4ieee_Q15std_logic_arith_Y3sxt_i418_V10new_bounds.init((new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,(L4ieee_Q15std_logic_arith_Y3sxt_i418_C3arg.info->length-1),downto,0,0)),enumeration(0));
2584     L4ieee_Q15std_logic_arith_Y3sxt_i418_V6result.init((&L4ieee_Q15std_logic_arith_Y3sxt_i418_I5rtype_INFO),enumeration(0));
2585     L4ieee_Q15std_logic_arith_Y3sxt_i418_V10new_bounds=L4ieee_W15std_logic_arith_Y11make_binary_i87 (L4ieee_Q15std_logic_arith_Y3sxt_i418_C3arg);
2586     if((L4ieee_Q15std_logic_arith_Y3sxt_i418_V10new_bounds[0]==enumeration(1))) {
2587       for (L4ieee_Q15std_logic_arith_Y3sxt_i418_L5886ln_C1j=(L4ieee_Q15std_logic_arith_Y3sxt_i418_C4size-1),L4ieee_Q15std_logic_arith_Y3sxt_i418_L5886ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y3sxt_i418_C4size-1),0); L4ieee_Q15std_logic_arith_Y3sxt_i418_L5886ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y3sxt_i418_L5886ln_C1j--,L4ieee_Q15std_logic_arith_Y3sxt_i418_L5886ln_C1j_lc--) {
2588         L4ieee_Q15std_logic_arith_Y3sxt_i418_V6result[L4ieee_Q15std_logic_arith_Y3sxt_i418_L5886ln_C1j]=enumeration(1);
2589       }
2590     } else {
2591       for (L4ieee_Q15std_logic_arith_Y3sxt_i418_L5888ln_C1j=(L4ieee_Q15std_logic_arith_Y3sxt_i418_C4size-1),L4ieee_Q15std_logic_arith_Y3sxt_i418_L5888ln_C1j_lc=down_range_to_length<integer>((L4ieee_Q15std_logic_arith_Y3sxt_i418_C4size-1),0); L4ieee_Q15std_logic_arith_Y3sxt_i418_L5888ln_C1j_lc!=0; L4ieee_Q15std_logic_arith_Y3sxt_i418_L5888ln_C1j--,L4ieee_Q15std_logic_arith_Y3sxt_i418_L5888ln_C1j_lc--) {
2592         L4ieee_Q15std_logic_arith_Y3sxt_i418_V6result[L4ieee_Q15std_logic_arith_Y3sxt_i418_L5888ln_C1j]=L4ieee_Q15std_logic_arith_Y3sxt_i418_V10new_bounds[L4ieee_Q15std_logic_arith_Y3sxt_i418_V10new_bounds.info->left_bound];
2593       }
2594       array_alias<L4ieee_Q15std_logic_arith_Y3sxt_i418_T5rtype >(new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,L4ieee_Q15std_logic_arith_Y3sxt_i418_C3msb,downto,0,0),&L4ieee_Q15std_logic_arith_Y3sxt_i418_V6result[L4ieee_Q15std_logic_arith_Y3sxt_i418_C3msb])=array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >(new array_info((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->element_type,(&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO)->index_type,L4ieee_Q15std_logic_arith_Y3sxt_i418_C3msb,downto,0,0),&L4ieee_Q15std_logic_arith_Y3sxt_i418_V10new_bounds[L4ieee_Q15std_logic_arith_Y3sxt_i418_C3msb]);
2595     }
2596     return array_alias<L4ieee_Q14std_logic_1164_T16std_logic_vector >((&L4ieee_Q14std_logic_1164_I16std_logic_vector_INFO),L4ieee_Q15std_logic_arith_Y3sxt_i418_V6result);
2597 }
2598 /* Initialization function for package body :ieee:std_logic_arith */
2599 int L4ieee_Q15std_logic_arith_init ();
2600 int L3std_Q8standard_init ();
2601 int L4ieee_Q14std_logic_1164_init ();
2602 bool L4ieee_W15std_logic_arith_init_done = false;
L4ieee_W15std_logic_arith_init()2603 int L4ieee_W15std_logic_arith_init(){
2604 if (L4ieee_W15std_logic_arith_init_done) return 1;
2605 L4ieee_W15std_logic_arith_init_done=true;
2606 L4ieee_Q15std_logic_arith_init ();
2607 L3std_Q8standard_init ();
2608 L4ieee_Q14std_logic_1164_init ();
2609 register_source_file("/home/stefan/cvs-local/freehdl-teaser/ieee/std_logic_arith.vhdl","std_logic_arith.vhdl");
2610 name_stack iname;
2611 iname.push("");
2612 void *sref=register_package_body(":ieee",":std_logic_arith");
2613 L4ieee_W15std_logic_arith_I8tbl_type_INFO.set((&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO),(&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO),enumeration(0),to,enumeration(8),-1).register_type(":ieee:std_logic_arith",":ieee:std_logic_arith:tbl_type",":tbl_type",NULL);
2614 L4ieee_W15std_logic_arith_I16tbl_mvl9_boolean_INFO.set((&L3std_Q8standard_I7boolean_INFO),(&L4ieee_Q14std_logic_1164_I10std_ulogic_INFO),enumeration(0),to,enumeration(8),-1).register_type(":ieee:std_logic_arith",":ieee:std_logic_arith:tbl_mvl9_boolean",":tbl_mvl9_boolean",NULL);
2615 L4ieee_W15std_logic_arith_C10tbl_binary.init((&L4ieee_W15std_logic_arith_I8tbl_type_INFO),enumeration(0));
2616 L4ieee_W15std_logic_arith_C10tbl_binary=L4ieee_W15std_logic_arith_T8tbl_type(new array_info((&L4ieee_W15std_logic_arith_I8tbl_type_INFO)->element_type,(&L4ieee_W15std_logic_arith_I8tbl_type_INFO)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(1)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(2)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(3)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(2)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(3)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1));
2617 L4ieee_W15std_logic_arith_C4is_x.init((&L4ieee_W15std_logic_arith_I16tbl_mvl9_boolean_INFO),enumeration(0));
2618 L4ieee_W15std_logic_arith_C4is_x=L4ieee_W15std_logic_arith_T16tbl_mvl9_boolean(new array_info((&L4ieee_W15std_logic_arith_I16tbl_mvl9_boolean_INFO)->element_type,(&L4ieee_W15std_logic_arith_I16tbl_mvl9_boolean_INFO)->index_type,enumeration(0),to,enumeration(0)+8,0),enumeration(1)).aggregate_set(enumeration(0)+1,to,enumeration(0)+1,enumeration(1)).aggregate_set(enumeration(0)+2,to,enumeration(0)+2,enumeration(0)).aggregate_set(enumeration(0)+3,to,enumeration(0)+3,enumeration(0)).aggregate_set(enumeration(0)+4,to,enumeration(0)+4,enumeration(1)).aggregate_set(enumeration(0)+5,to,enumeration(0)+5,enumeration(1)).aggregate_set(enumeration(0)+6,to,enumeration(0)+6,enumeration(0)).aggregate_set(enumeration(0)+7,to,enumeration(0)+7,enumeration(0)).aggregate_set(enumeration(0)+8,to,enumeration(0)+8,enumeration(1));
2619 iname.pop();
2620 return 1;
2621 }
2622 /* handle for simulator to find package body initialization function */
2623 handle_info *L4ieee_W15std_logic_arith_hinfo =
2624   add_handle("ieee","std_logic_arith",NULL,NULL,&L4ieee_W15std_logic_arith_init);
2625 
2626 /* end of package body :ieee:std_logic_arith */
2627