1/* Generated by Yosys 0.9+2406 (git sha1 aafaeb66, gcc 8.4.0-1ubuntu1~18.04 -fPIC -Os) */
2
3module edge_enhance(clk, enable_feature, in_blank, in_hsync, in_vsync, in_red, in_green, in_blue, out_blank, out_hsync, out_vsync, out_red, out_green, out_blue);
4  reg [7:0] _0_;
5  reg [7:0] _1_;
6  input clk;
7  input enable_feature;
8  wire \i_line_delay_2%126 ;
9  wire \i_line_delay_2%127 ;
10  wire \i_line_delay_2%128 ;
11  wire [7:0] \i_line_delay_2%129 ;
12  wire [7:0] \i_line_delay_2%130 ;
13  wire [7:0] \i_line_delay_2%131 ;
14  input in_blank;
15  input [7:0] in_blue;
16  input [7:0] in_green;
17  input in_hsync;
18  input [7:0] in_red;
19  input in_vsync;
20  output out_blank;
21  output [7:0] out_blue;
22  output [7:0] out_green;
23  output out_hsync;
24  output [7:0] out_red;
25  output out_vsync;
26  always @(posedge clk)
27      _0_ <= \i_line_delay_2%130 ;
28  always @(posedge clk)
29      _1_ <= \i_line_delay_2%131 ;
30  line_delay i_line_delay_2 (
31    .clk(clk),
32    .in_blank(1'hz),
33    .in_blue(8'hxx),
34    .in_green(8'hxx),
35    .in_hsync(1'hz),
36    .in_red(8'hzz),
37    .in_vsync(1'hz),
38    .out_blank(\i_line_delay_2%126 ),
39    .out_blue(\i_line_delay_2%131 ),
40    .out_green(\i_line_delay_2%130 ),
41    .out_hsync(\i_line_delay_2%127 ),
42    .out_red(\i_line_delay_2%129 ),
43    .out_vsync(\i_line_delay_2%128 )
44  );
45  assign out_blank = 1'hz;
46  assign out_hsync = 1'hz;
47  assign out_vsync = 1'hz;
48  assign out_red = 8'hzz;
49  assign out_green = _0_;
50  assign out_blue = _1_;
51endmodule
52
53module hdmi_design(clk100, sw, hdmi_rx_scl, hdmi_rx_clk_n, hdmi_rx_clk_p, hdmi_rx_n, hdmi_rx_p, hdmi_tx_hpd, led, debug_pmod, hdmi_rx_cec, hdmi_rx_hpa, hdmi_rx_sda, hdmi_rx_txen, hdmi_tx_cec, hdmi_tx_clk_n, hdmi_tx_clk_p, hdmi_tx_rscl, hdmi_tx_rsda, hdmi_tx_p, hdmi_tx_n, rs232_tx);
54  input clk100;
55  output [7:0] debug_pmod;
56  inout hdmi_rx_cec;
57  input hdmi_rx_clk_n;
58  input hdmi_rx_clk_p;
59  output hdmi_rx_hpa;
60  input [2:0] hdmi_rx_n;
61  input [2:0] hdmi_rx_p;
62  input hdmi_rx_scl;
63  inout hdmi_rx_sda;
64  output hdmi_rx_txen;
65  inout hdmi_tx_cec;
66  output hdmi_tx_clk_n;
67  output hdmi_tx_clk_p;
68  input hdmi_tx_hpd;
69  output [2:0] hdmi_tx_n;
70  output [2:0] hdmi_tx_p;
71  inout hdmi_tx_rscl;
72  inout hdmi_tx_rsda;
73  output [7:0] led;
74  output rs232_tx;
75  input [7:0] sw;
76  assign hdmi_rx_cec = 1'hz;
77  assign hdmi_rx_sda = 1'hz;
78  assign hdmi_tx_cec = 1'hz;
79  assign hdmi_tx_rscl = 1'hz;
80  assign hdmi_tx_rsda = 1'hz;
81  assign led = 8'hzz;
82  assign debug_pmod = 8'hzz;
83  assign hdmi_rx_hpa = 1'hz;
84  assign hdmi_rx_txen = 1'hz;
85  assign hdmi_tx_clk_n = 1'hz;
86  assign hdmi_tx_clk_p = 1'hz;
87  assign hdmi_tx_p = 3'hz;
88  assign hdmi_tx_n = 3'hz;
89  assign rs232_tx = 1'hz;
90endmodule
91
92module line_delay(clk, in_blank, in_hsync, in_vsync, in_red, in_green, in_blue, out_blank, out_hsync, out_vsync, out_red, out_green, out_blue);
93  wire [8:0] _0_;
94  reg [7:0] _1_;
95  reg [7:0] _2_;
96  wire [13823:0] _3_;
97  wire [26:0] _4_;
98  wire [8:0] _5_;
99  input clk;
100  input in_blank;
101  input [7:0] in_blue;
102  input [7:0] in_green;
103  input in_hsync;
104  input [7:0] in_red;
105  input in_vsync;
106  output out_blank;
107  output [7:0] out_blue;
108  output [7:0] out_green;
109  output out_hsync;
110  output [7:0] out_red;
111  output out_vsync;
112  reg [26:0] \$mem$\208  [511:0];
113  assign _5_ = 9'h1ff - 9'h1ff;
114  assign _0_ = 9'h1ff - 9'h1ff;
115  always @(posedge clk)
116      _1_ <= _4_[18:11];
117  always @(posedge clk)
118      _2_ <= _4_[10:3];
119  reg [26:0] \208  [511:0];
120  initial begin
121    \208 [0] = 27'h0000000;
122    \208 [1] = 27'h0000000;
123    \208 [2] = 27'h0000000;
124    \208 [3] = 27'h0000000;
125    \208 [4] = 27'h0000000;
126    \208 [5] = 27'h0000000;
127    \208 [6] = 27'h0000000;
128    \208 [7] = 27'h0000000;
129    \208 [8] = 27'h0000000;
130    \208 [9] = 27'h0000000;
131    \208 [10] = 27'h0000000;
132    \208 [11] = 27'h0000000;
133    \208 [12] = 27'h0000000;
134    \208 [13] = 27'h0000000;
135    \208 [14] = 27'h0000000;
136    \208 [15] = 27'h0000000;
137    \208 [16] = 27'h0000000;
138    \208 [17] = 27'h0000000;
139    \208 [18] = 27'h0000000;
140    \208 [19] = 27'h0000000;
141    \208 [20] = 27'h0000000;
142    \208 [21] = 27'h0000000;
143    \208 [22] = 27'h0000000;
144    \208 [23] = 27'h0000000;
145    \208 [24] = 27'h0000000;
146    \208 [25] = 27'h0000000;
147    \208 [26] = 27'h0000000;
148    \208 [27] = 27'h0000000;
149    \208 [28] = 27'h0000000;
150    \208 [29] = 27'h0000000;
151    \208 [30] = 27'h0000000;
152    \208 [31] = 27'h0000000;
153    \208 [32] = 27'h0000000;
154    \208 [33] = 27'h0000000;
155    \208 [34] = 27'h0000000;
156    \208 [35] = 27'h0000000;
157    \208 [36] = 27'h0000000;
158    \208 [37] = 27'h0000000;
159    \208 [38] = 27'h0000000;
160    \208 [39] = 27'h0000000;
161    \208 [40] = 27'h0000000;
162    \208 [41] = 27'h0000000;
163    \208 [42] = 27'h0000000;
164    \208 [43] = 27'h0000000;
165    \208 [44] = 27'h0000000;
166    \208 [45] = 27'h0000000;
167    \208 [46] = 27'h0000000;
168    \208 [47] = 27'h0000000;
169    \208 [48] = 27'h0000000;
170    \208 [49] = 27'h0000000;
171    \208 [50] = 27'h0000000;
172    \208 [51] = 27'h0000000;
173    \208 [52] = 27'h0000000;
174    \208 [53] = 27'h0000000;
175    \208 [54] = 27'h0000000;
176    \208 [55] = 27'h0000000;
177    \208 [56] = 27'h0000000;
178    \208 [57] = 27'h0000000;
179    \208 [58] = 27'h0000000;
180    \208 [59] = 27'h0000000;
181    \208 [60] = 27'h0000000;
182    \208 [61] = 27'h0000000;
183    \208 [62] = 27'h0000000;
184    \208 [63] = 27'h0000000;
185    \208 [64] = 27'h0000000;
186    \208 [65] = 27'h0000000;
187    \208 [66] = 27'h0000000;
188    \208 [67] = 27'h0000000;
189    \208 [68] = 27'h0000000;
190    \208 [69] = 27'h0000000;
191    \208 [70] = 27'h0000000;
192    \208 [71] = 27'h0000000;
193    \208 [72] = 27'h0000000;
194    \208 [73] = 27'h0000000;
195    \208 [74] = 27'h0000000;
196    \208 [75] = 27'h0000000;
197    \208 [76] = 27'h0000000;
198    \208 [77] = 27'h0000000;
199    \208 [78] = 27'h0000000;
200    \208 [79] = 27'h0000000;
201    \208 [80] = 27'h0000000;
202    \208 [81] = 27'h0000000;
203    \208 [82] = 27'h0000000;
204    \208 [83] = 27'h0000000;
205    \208 [84] = 27'h0000000;
206    \208 [85] = 27'h0000000;
207    \208 [86] = 27'h0000000;
208    \208 [87] = 27'h0000000;
209    \208 [88] = 27'h0000000;
210    \208 [89] = 27'h0000000;
211    \208 [90] = 27'h0000000;
212    \208 [91] = 27'h0000000;
213    \208 [92] = 27'h0000000;
214    \208 [93] = 27'h0000000;
215    \208 [94] = 27'h0000000;
216    \208 [95] = 27'h0000000;
217    \208 [96] = 27'h0000000;
218    \208 [97] = 27'h0000000;
219    \208 [98] = 27'h0000000;
220    \208 [99] = 27'h0000000;
221    \208 [100] = 27'h0000000;
222    \208 [101] = 27'h0000000;
223    \208 [102] = 27'h0000000;
224    \208 [103] = 27'h0000000;
225    \208 [104] = 27'h0000000;
226    \208 [105] = 27'h0000000;
227    \208 [106] = 27'h0000000;
228    \208 [107] = 27'h0000000;
229    \208 [108] = 27'h0000000;
230    \208 [109] = 27'h0000000;
231    \208 [110] = 27'h0000000;
232    \208 [111] = 27'h0000000;
233    \208 [112] = 27'h0000000;
234    \208 [113] = 27'h0000000;
235    \208 [114] = 27'h0000000;
236    \208 [115] = 27'h0000000;
237    \208 [116] = 27'h0000000;
238    \208 [117] = 27'h0000000;
239    \208 [118] = 27'h0000000;
240    \208 [119] = 27'h0000000;
241    \208 [120] = 27'h0000000;
242    \208 [121] = 27'h0000000;
243    \208 [122] = 27'h0000000;
244    \208 [123] = 27'h0000000;
245    \208 [124] = 27'h0000000;
246    \208 [125] = 27'h0000000;
247    \208 [126] = 27'h0000000;
248    \208 [127] = 27'h0000000;
249    \208 [128] = 27'h0000000;
250    \208 [129] = 27'h0000000;
251    \208 [130] = 27'h0000000;
252    \208 [131] = 27'h0000000;
253    \208 [132] = 27'h0000000;
254    \208 [133] = 27'h0000000;
255    \208 [134] = 27'h0000000;
256    \208 [135] = 27'h0000000;
257    \208 [136] = 27'h0000000;
258    \208 [137] = 27'h0000000;
259    \208 [138] = 27'h0000000;
260    \208 [139] = 27'h0000000;
261    \208 [140] = 27'h0000000;
262    \208 [141] = 27'h0000000;
263    \208 [142] = 27'h0000000;
264    \208 [143] = 27'h0000000;
265    \208 [144] = 27'h0000000;
266    \208 [145] = 27'h0000000;
267    \208 [146] = 27'h0000000;
268    \208 [147] = 27'h0000000;
269    \208 [148] = 27'h0000000;
270    \208 [149] = 27'h0000000;
271    \208 [150] = 27'h0000000;
272    \208 [151] = 27'h0000000;
273    \208 [152] = 27'h0000000;
274    \208 [153] = 27'h0000000;
275    \208 [154] = 27'h0000000;
276    \208 [155] = 27'h0000000;
277    \208 [156] = 27'h0000000;
278    \208 [157] = 27'h0000000;
279    \208 [158] = 27'h0000000;
280    \208 [159] = 27'h0000000;
281    \208 [160] = 27'h0000000;
282    \208 [161] = 27'h0000000;
283    \208 [162] = 27'h0000000;
284    \208 [163] = 27'h0000000;
285    \208 [164] = 27'h0000000;
286    \208 [165] = 27'h0000000;
287    \208 [166] = 27'h0000000;
288    \208 [167] = 27'h0000000;
289    \208 [168] = 27'h0000000;
290    \208 [169] = 27'h0000000;
291    \208 [170] = 27'h0000000;
292    \208 [171] = 27'h0000000;
293    \208 [172] = 27'h0000000;
294    \208 [173] = 27'h0000000;
295    \208 [174] = 27'h0000000;
296    \208 [175] = 27'h0000000;
297    \208 [176] = 27'h0000000;
298    \208 [177] = 27'h0000000;
299    \208 [178] = 27'h0000000;
300    \208 [179] = 27'h0000000;
301    \208 [180] = 27'h0000000;
302    \208 [181] = 27'h0000000;
303    \208 [182] = 27'h0000000;
304    \208 [183] = 27'h0000000;
305    \208 [184] = 27'h0000000;
306    \208 [185] = 27'h0000000;
307    \208 [186] = 27'h0000000;
308    \208 [187] = 27'h0000000;
309    \208 [188] = 27'h0000000;
310    \208 [189] = 27'h0000000;
311    \208 [190] = 27'h0000000;
312    \208 [191] = 27'h0000000;
313    \208 [192] = 27'h0000000;
314    \208 [193] = 27'h0000000;
315    \208 [194] = 27'h0000000;
316    \208 [195] = 27'h0000000;
317    \208 [196] = 27'h0000000;
318    \208 [197] = 27'h0000000;
319    \208 [198] = 27'h0000000;
320    \208 [199] = 27'h0000000;
321    \208 [200] = 27'h0000000;
322    \208 [201] = 27'h0000000;
323    \208 [202] = 27'h0000000;
324    \208 [203] = 27'h0000000;
325    \208 [204] = 27'h0000000;
326    \208 [205] = 27'h0000000;
327    \208 [206] = 27'h0000000;
328    \208 [207] = 27'h0000000;
329    \208 [208] = 27'h0000000;
330    \208 [209] = 27'h0000000;
331    \208 [210] = 27'h0000000;
332    \208 [211] = 27'h0000000;
333    \208 [212] = 27'h0000000;
334    \208 [213] = 27'h0000000;
335    \208 [214] = 27'h0000000;
336    \208 [215] = 27'h0000000;
337    \208 [216] = 27'h0000000;
338    \208 [217] = 27'h0000000;
339    \208 [218] = 27'h0000000;
340    \208 [219] = 27'h0000000;
341    \208 [220] = 27'h0000000;
342    \208 [221] = 27'h0000000;
343    \208 [222] = 27'h0000000;
344    \208 [223] = 27'h0000000;
345    \208 [224] = 27'h0000000;
346    \208 [225] = 27'h0000000;
347    \208 [226] = 27'h0000000;
348    \208 [227] = 27'h0000000;
349    \208 [228] = 27'h0000000;
350    \208 [229] = 27'h0000000;
351    \208 [230] = 27'h0000000;
352    \208 [231] = 27'h0000000;
353    \208 [232] = 27'h0000000;
354    \208 [233] = 27'h0000000;
355    \208 [234] = 27'h0000000;
356    \208 [235] = 27'h0000000;
357    \208 [236] = 27'h0000000;
358    \208 [237] = 27'h0000000;
359    \208 [238] = 27'h0000000;
360    \208 [239] = 27'h0000000;
361    \208 [240] = 27'h0000000;
362    \208 [241] = 27'h0000000;
363    \208 [242] = 27'h0000000;
364    \208 [243] = 27'h0000000;
365    \208 [244] = 27'h0000000;
366    \208 [245] = 27'h0000000;
367    \208 [246] = 27'h0000000;
368    \208 [247] = 27'h0000000;
369    \208 [248] = 27'h0000000;
370    \208 [249] = 27'h0000000;
371    \208 [250] = 27'h0000000;
372    \208 [251] = 27'h0000000;
373    \208 [252] = 27'h0000000;
374    \208 [253] = 27'h0000000;
375    \208 [254] = 27'h0000000;
376    \208 [255] = 27'h0000000;
377    \208 [256] = 27'h0000000;
378    \208 [257] = 27'h0000000;
379    \208 [258] = 27'h0000000;
380    \208 [259] = 27'h0000000;
381    \208 [260] = 27'h0000000;
382    \208 [261] = 27'h0000000;
383    \208 [262] = 27'h0000000;
384    \208 [263] = 27'h0000000;
385    \208 [264] = 27'h0000000;
386    \208 [265] = 27'h0000000;
387    \208 [266] = 27'h0000000;
388    \208 [267] = 27'h0000000;
389    \208 [268] = 27'h0000000;
390    \208 [269] = 27'h0000000;
391    \208 [270] = 27'h0000000;
392    \208 [271] = 27'h0000000;
393    \208 [272] = 27'h0000000;
394    \208 [273] = 27'h0000000;
395    \208 [274] = 27'h0000000;
396    \208 [275] = 27'h0000000;
397    \208 [276] = 27'h0000000;
398    \208 [277] = 27'h0000000;
399    \208 [278] = 27'h0000000;
400    \208 [279] = 27'h0000000;
401    \208 [280] = 27'h0000000;
402    \208 [281] = 27'h0000000;
403    \208 [282] = 27'h0000000;
404    \208 [283] = 27'h0000000;
405    \208 [284] = 27'h0000000;
406    \208 [285] = 27'h0000000;
407    \208 [286] = 27'h0000000;
408    \208 [287] = 27'h0000000;
409    \208 [288] = 27'h0000000;
410    \208 [289] = 27'h0000000;
411    \208 [290] = 27'h0000000;
412    \208 [291] = 27'h0000000;
413    \208 [292] = 27'h0000000;
414    \208 [293] = 27'h0000000;
415    \208 [294] = 27'h0000000;
416    \208 [295] = 27'h0000000;
417    \208 [296] = 27'h0000000;
418    \208 [297] = 27'h0000000;
419    \208 [298] = 27'h0000000;
420    \208 [299] = 27'h0000000;
421    \208 [300] = 27'h0000000;
422    \208 [301] = 27'h0000000;
423    \208 [302] = 27'h0000000;
424    \208 [303] = 27'h0000000;
425    \208 [304] = 27'h0000000;
426    \208 [305] = 27'h0000000;
427    \208 [306] = 27'h0000000;
428    \208 [307] = 27'h0000000;
429    \208 [308] = 27'h0000000;
430    \208 [309] = 27'h0000000;
431    \208 [310] = 27'h0000000;
432    \208 [311] = 27'h0000000;
433    \208 [312] = 27'h0000000;
434    \208 [313] = 27'h0000000;
435    \208 [314] = 27'h0000000;
436    \208 [315] = 27'h0000000;
437    \208 [316] = 27'h0000000;
438    \208 [317] = 27'h0000000;
439    \208 [318] = 27'h0000000;
440    \208 [319] = 27'h0000000;
441    \208 [320] = 27'h0000000;
442    \208 [321] = 27'h0000000;
443    \208 [322] = 27'h0000000;
444    \208 [323] = 27'h0000000;
445    \208 [324] = 27'h0000000;
446    \208 [325] = 27'h0000000;
447    \208 [326] = 27'h0000000;
448    \208 [327] = 27'h0000000;
449    \208 [328] = 27'h0000000;
450    \208 [329] = 27'h0000000;
451    \208 [330] = 27'h0000000;
452    \208 [331] = 27'h0000000;
453    \208 [332] = 27'h0000000;
454    \208 [333] = 27'h0000000;
455    \208 [334] = 27'h0000000;
456    \208 [335] = 27'h0000000;
457    \208 [336] = 27'h0000000;
458    \208 [337] = 27'h0000000;
459    \208 [338] = 27'h0000000;
460    \208 [339] = 27'h0000000;
461    \208 [340] = 27'h0000000;
462    \208 [341] = 27'h0000000;
463    \208 [342] = 27'h0000000;
464    \208 [343] = 27'h0000000;
465    \208 [344] = 27'h0000000;
466    \208 [345] = 27'h0000000;
467    \208 [346] = 27'h0000000;
468    \208 [347] = 27'h0000000;
469    \208 [348] = 27'h0000000;
470    \208 [349] = 27'h0000000;
471    \208 [350] = 27'h0000000;
472    \208 [351] = 27'h0000000;
473    \208 [352] = 27'h0000000;
474    \208 [353] = 27'h0000000;
475    \208 [354] = 27'h0000000;
476    \208 [355] = 27'h0000000;
477    \208 [356] = 27'h0000000;
478    \208 [357] = 27'h0000000;
479    \208 [358] = 27'h0000000;
480    \208 [359] = 27'h0000000;
481    \208 [360] = 27'h0000000;
482    \208 [361] = 27'h0000000;
483    \208 [362] = 27'h0000000;
484    \208 [363] = 27'h0000000;
485    \208 [364] = 27'h0000000;
486    \208 [365] = 27'h0000000;
487    \208 [366] = 27'h0000000;
488    \208 [367] = 27'h0000000;
489    \208 [368] = 27'h0000000;
490    \208 [369] = 27'h0000000;
491    \208 [370] = 27'h0000000;
492    \208 [371] = 27'h0000000;
493    \208 [372] = 27'h0000000;
494    \208 [373] = 27'h0000000;
495    \208 [374] = 27'h0000000;
496    \208 [375] = 27'h0000000;
497    \208 [376] = 27'h0000000;
498    \208 [377] = 27'h0000000;
499    \208 [378] = 27'h0000000;
500    \208 [379] = 27'h0000000;
501    \208 [380] = 27'h0000000;
502    \208 [381] = 27'h0000000;
503    \208 [382] = 27'h0000000;
504    \208 [383] = 27'h0000000;
505    \208 [384] = 27'h0000000;
506    \208 [385] = 27'h0000000;
507    \208 [386] = 27'h0000000;
508    \208 [387] = 27'h0000000;
509    \208 [388] = 27'h0000000;
510    \208 [389] = 27'h0000000;
511    \208 [390] = 27'h0000000;
512    \208 [391] = 27'h0000000;
513    \208 [392] = 27'h0000000;
514    \208 [393] = 27'h0000000;
515    \208 [394] = 27'h0000000;
516    \208 [395] = 27'h0000000;
517    \208 [396] = 27'h0000000;
518    \208 [397] = 27'h0000000;
519    \208 [398] = 27'h0000000;
520    \208 [399] = 27'h0000000;
521    \208 [400] = 27'h0000000;
522    \208 [401] = 27'h0000000;
523    \208 [402] = 27'h0000000;
524    \208 [403] = 27'h0000000;
525    \208 [404] = 27'h0000000;
526    \208 [405] = 27'h0000000;
527    \208 [406] = 27'h0000000;
528    \208 [407] = 27'h0000000;
529    \208 [408] = 27'h0000000;
530    \208 [409] = 27'h0000000;
531    \208 [410] = 27'h0000000;
532    \208 [411] = 27'h0000000;
533    \208 [412] = 27'h0000000;
534    \208 [413] = 27'h0000000;
535    \208 [414] = 27'h0000000;
536    \208 [415] = 27'h0000000;
537    \208 [416] = 27'h0000000;
538    \208 [417] = 27'h0000000;
539    \208 [418] = 27'h0000000;
540    \208 [419] = 27'h0000000;
541    \208 [420] = 27'h0000000;
542    \208 [421] = 27'h0000000;
543    \208 [422] = 27'h0000000;
544    \208 [423] = 27'h0000000;
545    \208 [424] = 27'h0000000;
546    \208 [425] = 27'h0000000;
547    \208 [426] = 27'h0000000;
548    \208 [427] = 27'h0000000;
549    \208 [428] = 27'h0000000;
550    \208 [429] = 27'h0000000;
551    \208 [430] = 27'h0000000;
552    \208 [431] = 27'h0000000;
553    \208 [432] = 27'h0000000;
554    \208 [433] = 27'h0000000;
555    \208 [434] = 27'h0000000;
556    \208 [435] = 27'h0000000;
557    \208 [436] = 27'h0000000;
558    \208 [437] = 27'h0000000;
559    \208 [438] = 27'h0000000;
560    \208 [439] = 27'h0000000;
561    \208 [440] = 27'h0000000;
562    \208 [441] = 27'h0000000;
563    \208 [442] = 27'h0000000;
564    \208 [443] = 27'h0000000;
565    \208 [444] = 27'h0000000;
566    \208 [445] = 27'h0000000;
567    \208 [446] = 27'h0000000;
568    \208 [447] = 27'h0000000;
569    \208 [448] = 27'h0000000;
570    \208 [449] = 27'h0000000;
571    \208 [450] = 27'h0000000;
572    \208 [451] = 27'h0000000;
573    \208 [452] = 27'h0000000;
574    \208 [453] = 27'h0000000;
575    \208 [454] = 27'h0000000;
576    \208 [455] = 27'h0000000;
577    \208 [456] = 27'h0000000;
578    \208 [457] = 27'h0000000;
579    \208 [458] = 27'h0000000;
580    \208 [459] = 27'h0000000;
581    \208 [460] = 27'h0000000;
582    \208 [461] = 27'h0000000;
583    \208 [462] = 27'h0000000;
584    \208 [463] = 27'h0000000;
585    \208 [464] = 27'h0000000;
586    \208 [465] = 27'h0000000;
587    \208 [466] = 27'h0000000;
588    \208 [467] = 27'h0000000;
589    \208 [468] = 27'h0000000;
590    \208 [469] = 27'h0000000;
591    \208 [470] = 27'h0000000;
592    \208 [471] = 27'h0000000;
593    \208 [472] = 27'h0000000;
594    \208 [473] = 27'h0000000;
595    \208 [474] = 27'h0000000;
596    \208 [475] = 27'h0000000;
597    \208 [476] = 27'h0000000;
598    \208 [477] = 27'h0000000;
599    \208 [478] = 27'h0000000;
600    \208 [479] = 27'h0000000;
601    \208 [480] = 27'h0000000;
602    \208 [481] = 27'h0000000;
603    \208 [482] = 27'h0000000;
604    \208 [483] = 27'h0000000;
605    \208 [484] = 27'h0000000;
606    \208 [485] = 27'h0000000;
607    \208 [486] = 27'h0000000;
608    \208 [487] = 27'h0000000;
609    \208 [488] = 27'h0000000;
610    \208 [489] = 27'h0000000;
611    \208 [490] = 27'h0000000;
612    \208 [491] = 27'h0000000;
613    \208 [492] = 27'h0000000;
614    \208 [493] = 27'h0000000;
615    \208 [494] = 27'h0000000;
616    \208 [495] = 27'h0000000;
617    \208 [496] = 27'h0000000;
618    \208 [497] = 27'h0000000;
619    \208 [498] = 27'h0000000;
620    \208 [499] = 27'h0000000;
621    \208 [500] = 27'h0000000;
622    \208 [501] = 27'h0000000;
623    \208 [502] = 27'h0000000;
624    \208 [503] = 27'h0000000;
625    \208 [504] = 27'h0000000;
626    \208 [505] = 27'h0000000;
627    \208 [506] = 27'h0000000;
628    \208 [507] = 27'h0000000;
629    \208 [508] = 27'h0000000;
630    \208 [509] = 27'h0000000;
631    \208 [510] = 27'h0000000;
632    \208 [511] = 27'h0000000;
633  end
634  always @(posedge clk) begin
635    \208 [_0_] <= 27'h0000000;
636  end
637  assign _4_ = \208 [_5_];
638  assign out_blank = 1'hz;
639  assign out_hsync = 1'hz;
640  assign out_vsync = 1'hz;
641  assign out_red = 8'hzz;
642  assign out_green = _1_;
643  assign out_blue = _2_;
644endmodule
645
646module pixel_processing(clk, in_blank, in_hsync, in_vsync, in_red, in_green, in_blue, is_interlaced, is_second_field, audio_channel, audio_de, audio_sample, switches, out_blank, out_hsync, out_vsync, out_red, out_green, out_blue);
647  input [2:0] audio_channel;
648  input audio_de;
649  input [23:0] audio_sample;
650  input clk;
651  input in_blank;
652  input [7:0] in_blue;
653  input [7:0] in_green;
654  input in_hsync;
655  input [7:0] in_red;
656  input in_vsync;
657  input is_interlaced;
658  input is_second_field;
659  output out_blank;
660  output [7:0] out_blue;
661  output [7:0] out_green;
662  output out_hsync;
663  output [7:0] out_red;
664  output out_vsync;
665  input [7:0] switches;
666  assign out_blank = 1'hz;
667  assign out_hsync = 1'hz;
668  assign out_vsync = 1'hz;
669  assign out_red = 8'hzz;
670  assign out_green = 8'hzz;
671  assign out_blue = 8'hzz;
672endmodule
673