1library ieee;
2use ieee.std_logic_1164.all;
3use ieee.numeric_std.all;
4
5package top_pack is
6
7type top_reg_t is record
8  prescale : integer range 0 to (2**24)-1;
9  count    : integer range 0 to 3;
10  blip     : std_logic;
11  y        : std_logic_vector(1 to 5);
12end record;
13
14constant TOP_REG_RESET : top_reg_t := ( 0, 0, '0', (others => '0') );
15
16function to_slv(C:integer; B:std_logic; E:std_logic) return std_logic_vector;
17
18component top port (
19   clk :  in std_logic;
20   D   : out std_logic_vector(1 to 5));
21end component;
22
23end package;
24
25package body top_pack is
26
27function to_slv(C:integer; B:std_logic; E:std_logic) return std_logic_vector is
28variable ret : std_logic_vector(1 to 5) := (others => '0');
29begin
30   ret(C+1) := E;
31   ret(5)   := B;
32
33   return ret;
34end to_slv;
35
36end top_pack;
37