1entity test_bench is
2end test_bench;
3
4architecture only of test_bench is
5  signal sig : integer := 0;
6begin  -- only
7  p: process
8  begin  -- process p
9    assert sig = 0 report "TEST FAILED" severity FAILURE;
10    report "TEST PASSED" severity NOTE;
11    wait;
12  end process p;
13end only;
14