1module test;
2 reg signed [8:0] a;
3 reg signed [8:0] b;
4 reg signed [8:0] result;
5
6 reg [7:0] c;
7 reg [7:0] d;
8
9 initial begin
10  a = -35;
11  b = 5;
12  c = 35;
13  d = 5;
14
15  result = a / b;
16
17  $display("%b", a * b);
18  $display("%d", a * b);
19  $display("%b", a / b);
20  $display("%d", a / b);
21  $display("%d", result);
22 end
23
24endmodule
25
26