1module test;
2 reg signed [7:0] a;
3 reg signed [7:0] b;
4 reg signed [7:0] result;
5
6 reg [7:0] c;
7 reg [7:0] d;
8
9 initial begin
10  a = -2;
11  b = -50;
12
13  c = 50;
14
15  if (a < b)
16   $display("%d < %d", a, b);
17  if (a > b)
18   $display("%d > %d", a, b);
19  if (b < a)
20   $display("%d < %d", b, a);
21  if (b > a)
22   $display("%d > %d", b, a);
23
24  if (a <= b)
25   $display("%d <= %d", a, b);
26  if (a >= b)
27   $display("%d >= %d", a, b);
28  if (b <= a)
29   $display("%d <= %d", b, a);
30  if (b >= a)
31   $display("%d >= %d", b, a);
32
33  if (a < c)
34   $display("%d < %d", a, c);
35  if (a > c)
36   $display("%d > %d", a, c);
37  if (c < a)
38   $display("%d < %d", c, a);
39  if (c > a)
40   $display("%d > %d", c, a);
41 end
42
43endmodule
44
45