1 /* C++ code produced by gperf version 3.1 */
2 /* Command-line: gperf -o -i 7 -C -k '1-4,6,9,$' -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf  */
3 
4 #if !((' ' == 32) && ('!' == 33) && ('"' == 34) && ('#' == 35) \
5       && ('%' == 37) && ('&' == 38) && ('\'' == 39) && ('(' == 40) \
6       && (')' == 41) && ('*' == 42) && ('+' == 43) && (',' == 44) \
7       && ('-' == 45) && ('.' == 46) && ('/' == 47) && ('0' == 48) \
8       && ('1' == 49) && ('2' == 50) && ('3' == 51) && ('4' == 52) \
9       && ('5' == 53) && ('6' == 54) && ('7' == 55) && ('8' == 56) \
10       && ('9' == 57) && (':' == 58) && (';' == 59) && ('<' == 60) \
11       && ('=' == 61) && ('>' == 62) && ('?' == 63) && ('A' == 65) \
12       && ('B' == 66) && ('C' == 67) && ('D' == 68) && ('E' == 69) \
13       && ('F' == 70) && ('G' == 71) && ('H' == 72) && ('I' == 73) \
14       && ('J' == 74) && ('K' == 75) && ('L' == 76) && ('M' == 77) \
15       && ('N' == 78) && ('O' == 79) && ('P' == 80) && ('Q' == 81) \
16       && ('R' == 82) && ('S' == 83) && ('T' == 84) && ('U' == 85) \
17       && ('V' == 86) && ('W' == 87) && ('X' == 88) && ('Y' == 89) \
18       && ('Z' == 90) && ('[' == 91) && ('\\' == 92) && (']' == 93) \
19       && ('^' == 94) && ('_' == 95) && ('a' == 97) && ('b' == 98) \
20       && ('c' == 99) && ('d' == 100) && ('e' == 101) && ('f' == 102) \
21       && ('g' == 103) && ('h' == 104) && ('i' == 105) && ('j' == 106) \
22       && ('k' == 107) && ('l' == 108) && ('m' == 109) && ('n' == 110) \
23       && ('o' == 111) && ('p' == 112) && ('q' == 113) && ('r' == 114) \
24       && ('s' == 115) && ('t' == 116) && ('u' == 117) && ('v' == 118) \
25       && ('w' == 119) && ('x' == 120) && ('y' == 121) && ('z' == 122) \
26       && ('{' == 123) && ('|' == 124) && ('}' == 125) && ('~' == 126))
27 /* The character set is not based on ISO-646.  */
28 #error "gperf generated tables don't work with this execution character set. Please report a bug to <bug-gperf@gnu.org>."
29 #endif
30 
31 #line 9 "./lexor_keyword.gperf"
32 
33 /* Command-line: gperf -o -i 7 -C -k '1-4,6,9,$' -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf  */
34 
35 #include "config.h"
36 #include "parse_misc.h"
37 #include "parse.h"
38 #include <cstring>
39 #include "lexor_keyword.h"
40 #include "compiler.h"
41 
42 #line 20 "./lexor_keyword.gperf"
43 struct lexor_keyword { const char*name; int mask; int tokenType; };
44 
45 #define TOTAL_KEYWORDS 333
46 #define MIN_WORD_LENGTH 2
47 #define MAX_WORD_LENGTH 19
48 #define MIN_HASH_VALUE 41
49 #define MAX_HASH_VALUE 1546
50 /* maximum key range = 1506, duplicates = 0 */
51 
52 class Lkwd
53 {
54 private:
55   static inline unsigned int keyword_hash (const char *str, size_t len);
56 public:
57   static const struct lexor_keyword *check_identifier (const char *str, size_t len);
58 };
59 
60 inline unsigned int
keyword_hash(const char * str,size_t len)61 Lkwd::keyword_hash (const char *str, size_t len)
62 {
63   static const unsigned short asso_values[] =
64     {
65       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
66       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
67       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
68       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
69       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,   92,  247,
70         12, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
71       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
72       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
73       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
74       1547, 1547, 1547, 1547, 1547,  307, 1547,   62,  292,   97,
75         12,    7,  202,   57,  427,   37,   37,  202,  102,  217,
76          7,  202,  147,  122,   82,    7,   12,  377,  437,  372,
77        425,  130,  212, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
78       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
79       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
80       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
81       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
82       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
83       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
84       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
85       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
86       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
87       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
88       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
89       1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547, 1547,
90       1547, 1547, 1547, 1547, 1547, 1547
91     };
92   unsigned int hval = len;
93 
94   switch (hval)
95     {
96       default:
97         hval += asso_values[static_cast<unsigned char>(str[8])];
98       /*FALLTHROUGH*/
99       case 8:
100       case 7:
101       case 6:
102         hval += asso_values[static_cast<unsigned char>(str[5])];
103       /*FALLTHROUGH*/
104       case 5:
105       case 4:
106         hval += asso_values[static_cast<unsigned char>(str[3])];
107       /*FALLTHROUGH*/
108       case 3:
109         hval += asso_values[static_cast<unsigned char>(str[2])];
110       /*FALLTHROUGH*/
111       case 2:
112         hval += asso_values[static_cast<unsigned char>(str[1])];
113       /*FALLTHROUGH*/
114       case 1:
115         hval += asso_values[static_cast<unsigned char>(str[0])];
116         break;
117     }
118   return hval + asso_values[static_cast<unsigned char>(str[len - 1])];
119 }
120 
121 const struct lexor_keyword *
check_identifier(const char * str,size_t len)122 Lkwd::check_identifier (const char *str, size_t len)
123 {
124   static const struct lexor_keyword wordlist[] =
125     {
126       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
127       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
128       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
129       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
130       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
131       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
132       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
133       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
134       {"",0,0},
135 #line 104 "./lexor_keyword.gperf"
136       {"end",			GN_KEYWORDS_1364_1995,		K_end},
137       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
138       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
139 #line 88 "./lexor_keyword.gperf"
140       {"ddt",			GN_KEYWORDS_VAMS_2_3,		K_ddt},
141       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
142       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
143 #line 278 "./lexor_keyword.gperf"
144       {"sin",			GN_KEYWORDS_VAMS_2_3,		K_sin},
145       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
146       {"",0,0},
147 #line 117 "./lexor_keyword.gperf"
148       {"endnature",		GN_KEYWORDS_VAMS_2_3,		K_endnature},
149       {"",0,0}, {"",0,0},
150 #line 177 "./lexor_keyword.gperf"
151       {"int",			GN_KEYWORDS_1800_2005,		K_int},
152       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
153 #line 157 "./lexor_keyword.gperf"
154       {"idt",			GN_KEYWORDS_VAMS_2_3,		K_idt},
155       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
156       {"",0,0},
157 #line 94 "./lexor_keyword.gperf"
158       {"design",			GN_KEYWORDS_1364_2001_CONFIG,	K_design},
159 #line 98 "./lexor_keyword.gperf"
160       {"dist",			GN_KEYWORDS_1800_2005,		K_dist},
161 #line 176 "./lexor_keyword.gperf"
162       {"instance",		GN_KEYWORDS_1364_2001_CONFIG,	K_instance},
163       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
164 #line 302 "./lexor_keyword.gperf"
165       {"tan",			GN_KEYWORDS_VAMS_2_3,		K_tan},
166       {"",0,0}, {"",0,0},
167 #line 102 "./lexor_keyword.gperf"
168       {"edge",			GN_KEYWORDS_1364_1995,		K_edge},
169       {"",0,0},
170 #line 39 "./lexor_keyword.gperf"
171       {"and",			GN_KEYWORDS_1364_1995,		K_and},
172       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
173       {"",0,0},
174 #line 181 "./lexor_keyword.gperf"
175       {"intersect",		GN_KEYWORDS_1800_2005,		K_intersect},
176 #line 210 "./lexor_keyword.gperf"
177       {"nand",			GN_KEYWORDS_1364_1995,		K_nand},
178       {"",0,0}, {"",0,0}, {"",0,0},
179 #line 175 "./lexor_keyword.gperf"
180       {"inside",			GN_KEYWORDS_1800_2005,		K_inside},
181       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
182 #line 43 "./lexor_keyword.gperf"
183       {"assert",	GN_KEYWORDS_1800_2005|GN_KEYWORDS_VAMS_2_3,	K_assert},
184       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
185 #line 195 "./lexor_keyword.gperf"
186       {"ln",			GN_KEYWORDS_VAMS_2_3,		K_ln},
187       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
188 #line 40 "./lexor_keyword.gperf"
189       {"asin",			GN_KEYWORDS_VAMS_2_3,		K_asin},
190       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
191       {"",0,0}, {"",0,0}, {"",0,0},
192 #line 44 "./lexor_keyword.gperf"
193       {"assign",			GN_KEYWORDS_1364_1995,		K_assign},
194 #line 103 "./lexor_keyword.gperf"
195       {"else",			GN_KEYWORDS_1364_1995,		K_else},
196       {"",0,0},
197 #line 191 "./lexor_keyword.gperf"
198       {"let",			GN_KEYWORDS_1800_2009,		K_let},
199       {"",0,0},
200 #line 277 "./lexor_keyword.gperf"
201       {"signed",			GN_KEYWORDS_1364_2001,		K_signed},
202       {"",0,0},
203 #line 91 "./lexor_keyword.gperf"
204       {"deassign",		GN_KEYWORDS_1364_1995,		K_deassign},
205       {"",0,0}, {"",0,0}, {"",0,0},
206 #line 105 "./lexor_keyword.gperf"
207       {"endcase",		GN_KEYWORDS_1364_1995,		K_endcase},
208       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
209 #line 212 "./lexor_keyword.gperf"
210       {"negedge",		GN_KEYWORDS_1364_1995,		K_negedge},
211       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
212 #line 46 "./lexor_keyword.gperf"
213       {"atan",			GN_KEYWORDS_VAMS_2_3,		K_atan},
214 #line 150 "./lexor_keyword.gperf"
215       {"generate",		GN_KEYWORDS_1364_2001,		K_generate},
216       {"",0,0}, {"",0,0}, {"",0,0},
217 #line 178 "./lexor_keyword.gperf"
218       {"integer",		GN_KEYWORDS_1364_1995,		K_integer},
219 #line 47 "./lexor_keyword.gperf"
220       {"atan2",			GN_KEYWORDS_VAMS_2_3,		K_atan2},
221       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
222 #line 260 "./lexor_keyword.gperf"
223       {"restrict",		GN_KEYWORDS_1800_2009,		K_restrict},
224       {"",0,0}, {"",0,0},
225 #line 256 "./lexor_keyword.gperf"
226       {"reject_on",		GN_KEYWORDS_1800_2009,		K_reject_on},
227       {"",0,0},
228 #line 113 "./lexor_keyword.gperf"
229       {"endgenerate",		GN_KEYWORDS_1364_2001,		K_endgenerate},
230 #line 315 "./lexor_keyword.gperf"
231       {"tri",			GN_KEYWORDS_1364_1995,		K_tri},
232       {"",0,0}, {"",0,0},
233 #line 311 "./lexor_keyword.gperf"
234       {"tran",			GN_KEYWORDS_1364_1995,		K_tran},
235 #line 97 "./lexor_keyword.gperf"
236       {"discrete",		GN_KEYWORDS_VAMS_2_3,		K_discrete},
237       {"",0,0}, {"",0,0}, {"",0,0},
238 #line 247 "./lexor_keyword.gperf"
239       {"rand",			GN_KEYWORDS_1800_2005,		K_rand},
240 #line 124 "./lexor_keyword.gperf"
241       {"endsequence",		GN_KEYWORDS_1800_2005,		K_endsequence},
242       {"",0,0}, {"",0,0}, {"",0,0},
243 #line 63 "./lexor_keyword.gperf"
244       {"case",			GN_KEYWORDS_1364_1995,		K_case},
245       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
246       {"",0,0},
247 #line 179 "./lexor_keyword.gperf"
248       {"interconnect",		GN_KEYWORDS_1800_2012,		K_interconnect},
249       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
250 #line 250 "./lexor_keyword.gperf"
251       {"randsequence",		GN_KEYWORDS_1800_2005,		K_randsequence},
252       {"",0,0}, {"",0,0},
253 #line 214 "./lexor_keyword.gperf"
254       {"nettype",		GN_KEYWORDS_1800_2012,		K_nettype},
255 #line 108 "./lexor_keyword.gperf"
256       {"endclass",		GN_KEYWORDS_1800_2005,		K_endclass},
257       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
258 #line 255 "./lexor_keyword.gperf"
259       {"reg",			GN_KEYWORDS_1364_1995,		K_reg},
260       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
261 #line 111 "./lexor_keyword.gperf"
262       {"enddiscipline",		GN_KEYWORDS_VAMS_2_3,		K_enddiscipline},
263       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
264 #line 301 "./lexor_keyword.gperf"
265       {"tagged",			GN_KEYWORDS_1800_2005,		K_tagged},
266 #line 257 "./lexor_keyword.gperf"
267       {"release",		GN_KEYWORDS_1364_1995,		K_release},
268       {"",0,0}, {"",0,0}, {"",0,0},
269 #line 318 "./lexor_keyword.gperf"
270       {"triand",			GN_KEYWORDS_1364_1995,		K_triand},
271       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
272 #line 106 "./lexor_keyword.gperf"
273       {"endchecker",		GN_KEYWORDS_1800_2009,		K_endchecker},
274       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
275 #line 95 "./lexor_keyword.gperf"
276       {"disable",		GN_KEYWORDS_1364_1995,		K_disable},
277       {"",0,0},
278 #line 221 "./lexor_keyword.gperf"
279       {"not",			GN_KEYWORDS_1364_1995,		K_not},
280       {"",0,0}, {"",0,0},
281 #line 287 "./lexor_keyword.gperf"
282       {"sqrt",			GN_KEYWORDS_VAMS_2_3,		K_sqrt},
283 #line 249 "./lexor_keyword.gperf"
284       {"randcase",		GN_KEYWORDS_1800_2005,		K_randcase},
285 #line 120 "./lexor_keyword.gperf"
286       {"endprimitive",		GN_KEYWORDS_1364_1995,		K_endprimitive},
287       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
288       {"",0,0}, {"",0,0},
289 #line 110 "./lexor_keyword.gperf"
290       {"endconnectrules",	GN_KEYWORDS_VAMS_2_3,		K_endconnectrules},
291 #line 264 "./lexor_keyword.gperf"
292       {"rtran",			GN_KEYWORDS_1364_1995,		K_rtran},
293       {"",0,0}, {"",0,0},
294 #line 107 "./lexor_keyword.gperf"
295       {"endconfig",		GN_KEYWORDS_1364_2001_CONFIG,	K_endconfig},
296 #line 126 "./lexor_keyword.gperf"
297       {"endtask",		GN_KEYWORDS_1364_1995,		K_endtask},
298 #line 38 "./lexor_keyword.gperf"
299       {"analysis",		GN_KEYWORDS_VAMS_2_3,		K_analysis},
300       {"",0,0}, {"",0,0},
301 #line 290 "./lexor_keyword.gperf"
302       {"string",	GN_KEYWORDS_1800_2005|GN_KEYWORDS_VAMS_2_3,	K_string},
303       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
304 #line 171 "./lexor_keyword.gperf"
305       {"initial",		GN_KEYWORDS_1364_1995,		K_initial},
306 #line 248 "./lexor_keyword.gperf"
307       {"randc",			GN_KEYWORDS_1800_2005,		K_randc},
308       {"",0,0}, {"",0,0}, {"",0,0},
309 #line 169 "./lexor_keyword.gperf"
310       {"include",		GN_KEYWORDS_1364_2001_CONFIG,	K_include},
311       {"",0,0},
312 #line 207 "./lexor_keyword.gperf"
313       {"min",			GN_KEYWORDS_VAMS_2_3,		K_min},
314       {"",0,0},
315 #line 258 "./lexor_keyword.gperf"
316       {"repeat",			GN_KEYWORDS_1364_1995,		K_repeat},
317       {"",0,0},
318 #line 31 "./lexor_keyword.gperf"
319       {"alias",			GN_KEYWORDS_1800_2005,		K_alias},
320       {"",0,0}, {"",0,0},
321 #line 116 "./lexor_keyword.gperf"
322       {"endmodule",		GN_KEYWORDS_1364_1995,		K_endmodule},
323       {"",0,0},
324 #line 70 "./lexor_keyword.gperf"
325       {"class",			GN_KEYWORDS_1800_2005,		K_class},
326       {"",0,0}, {"",0,0},
327 #line 27 "./lexor_keyword.gperf"
328       {"access",			GN_KEYWORDS_VAMS_2_3,		K_access},
329 #line 307 "./lexor_keyword.gperf"
330       {"time",			GN_KEYWORDS_1364_1995,		K_time},
331 #line 119 "./lexor_keyword.gperf"
332       {"endparamset",		GN_KEYWORDS_VAMS_2_3,		K_endparamset},
333       {"",0,0}, {"",0,0},
334 #line 180 "./lexor_keyword.gperf"
335       {"interface",		GN_KEYWORDS_1800_2005,		K_interface},
336       {"",0,0},
337 #line 112 "./lexor_keyword.gperf"
338       {"endfunction",		GN_KEYWORDS_1364_1995,		K_endfunction},
339       {"",0,0}, {"",0,0},
340 #line 288 "./lexor_keyword.gperf"
341       {"static",			GN_KEYWORDS_1800_2005,		K_static},
342 #line 182 "./lexor_keyword.gperf"
343       {"join",			GN_KEYWORDS_1364_1995,		K_join},
344       {"",0,0},
345 #line 115 "./lexor_keyword.gperf"
346       {"endinterface",		GN_KEYWORDS_1800_2005,		K_endinterface},
347       {"",0,0},
348 #line 26 "./lexor_keyword.gperf"
349       {"accept_on",		GN_KEYWORDS_1800_2009,		K_accept_on},
350       {"",0,0},
351 #line 310 "./lexor_keyword.gperf"
352       {"timeunit",		GN_KEYWORDS_1800_2005,		K_timeunit},
353       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
354 #line 253 "./lexor_keyword.gperf"
355       {"realtime",		GN_KEYWORDS_1364_1995,		K_realtime},
356       {"",0,0},
357 #line 321 "./lexor_keyword.gperf"
358       {"type",			GN_KEYWORDS_1800_2005,		K_type},
359 #line 158 "./lexor_keyword.gperf"
360       {"idtmod",			GN_KEYWORDS_VAMS_2_3,		K_idtmod},
361       {"",0,0},
362 #line 286 "./lexor_keyword.gperf"
363       {"split",			GN_KEYWORDS_VAMS_2_3,		K_split},
364       {"",0,0}, {"",0,0},
365 #line 184 "./lexor_keyword.gperf"
366       {"join_none",		GN_KEYWORDS_1800_2005,		K_join_none},
367       {"",0,0},
368 #line 189 "./lexor_keyword.gperf"
369       {"large",			GN_KEYWORDS_1364_1995,		K_large},
370 #line 82 "./lexor_keyword.gperf"
371       {"cos",			GN_KEYWORDS_VAMS_2_3,		K_cos},
372       {"",0,0}, {"",0,0},
373 #line 316 "./lexor_keyword.gperf"
374       {"tri0",			GN_KEYWORDS_1364_1995,		K_tri0},
375       {"",0,0},
376 #line 172 "./lexor_keyword.gperf"
377       {"initial_step",		GN_KEYWORDS_VAMS_2_3,		K_initial_step},
378       {"",0,0},
379 #line 168 "./lexor_keyword.gperf"
380       {"incdir",			GN_KEYWORDS_1364_2001_CONFIG,	K_incdir},
381 #line 96 "./lexor_keyword.gperf"
382       {"discipline",		GN_KEYWORDS_VAMS_2_3,		K_discipline},
383       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
384 #line 77 "./lexor_keyword.gperf"
385       {"const",			GN_KEYWORDS_1800_2005,		K_const},
386 #line 298 "./lexor_keyword.gperf"
387       {"sync_accept_on",		GN_KEYWORDS_1800_2009,		K_sync_accept_on},
388       {"",0,0},
389 #line 320 "./lexor_keyword.gperf"
390       {"trireg",			GN_KEYWORDS_1364_1995,		K_trireg},
391       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
392       {"",0,0},
393 #line 80 "./lexor_keyword.gperf"
394       {"continue",		GN_KEYWORDS_1800_2005,		K_continue},
395       {"",0,0}, {"",0,0}, {"",0,0},
396 #line 118 "./lexor_keyword.gperf"
397       {"endpackage",		GN_KEYWORDS_1800_2005,		K_endpackage},
398 #line 125 "./lexor_keyword.gperf"
399       {"endtable",		GN_KEYWORDS_1364_1995,		K_endtable},
400       {"",0,0}, {"",0,0}, {"",0,0},
401 #line 66 "./lexor_keyword.gperf"
402       {"ceil",			GN_KEYWORDS_VAMS_2_3,		K_ceil},
403       {"",0,0},
404 #line 299 "./lexor_keyword.gperf"
405       {"sync_reject_on",		GN_KEYWORDS_1800_2009,		K_sync_reject_on},
406       {"",0,0},
407 #line 37 "./lexor_keyword.gperf"
408       {"analog",			GN_KEYWORDS_VAMS_2_3,		K_analog},
409 #line 53 "./lexor_keyword.gperf"
410       {"bins",			GN_KEYWORDS_1800_2005,		K_bins},
411       {"",0,0},
412 #line 55 "./lexor_keyword.gperf"
413       {"bit",			GN_KEYWORDS_1800_2005,		K_bit},
414 #line 190 "./lexor_keyword.gperf"
415       {"last_crossing",		GN_KEYWORDS_VAMS_2_3,		K_last_crossing},
416       {"",0,0},
417 #line 252 "./lexor_keyword.gperf"
418       {"real",			GN_KEYWORDS_1364_1995,		K_real},
419 #line 309 "./lexor_keyword.gperf"
420       {"timer",			GN_KEYWORDS_VAMS_2_3,		K_timer},
421       {"",0,0}, {"",0,0}, {"",0,0},
422 #line 52 "./lexor_keyword.gperf"
423       {"bind",			GN_KEYWORDS_1800_2005,		K_bind},
424 #line 163 "./lexor_keyword.gperf"
425       {"ignore_bins",		GN_KEYWORDS_1800_2005,		K_ignore_bins},
426       {"",0,0}, {"",0,0},
427 #line 225 "./lexor_keyword.gperf"
428       {"or",			GN_KEYWORDS_1364_1995,		K_or},
429       {"",0,0},
430 #line 272 "./lexor_keyword.gperf"
431       {"scalared",		GN_KEYWORDS_1364_1995,		K_scalared},
432 #line 23 "./lexor_keyword.gperf"
433       {"abs",			GN_KEYWORDS_VAMS_2_3,		K_abs},
434       {"",0,0}, {"",0,0}, {"",0,0},
435 #line 265 "./lexor_keyword.gperf"
436       {"rtranif0",		GN_KEYWORDS_1364_1995,		K_rtranif0},
437 #line 219 "./lexor_keyword.gperf"
438       {"nor",			GN_KEYWORDS_1364_1995,		K_nor},
439       {"",0,0}, {"",0,0},
440 #line 28 "./lexor_keyword.gperf"
441       {"acos",			GN_KEYWORDS_VAMS_2_3,		K_acos},
442 #line 230 "./lexor_keyword.gperf"
443       {"paramset",		GN_KEYWORDS_VAMS_2_3,		K_paramset},
444       {"",0,0},
445 #line 123 "./lexor_keyword.gperf"
446       {"endspecify",		GN_KEYWORDS_1364_1995,		K_endspecify},
447       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
448       {"",0,0}, {"",0,0},
449 #line 65 "./lexor_keyword.gperf"
450       {"casez",			GN_KEYWORDS_1364_1995,		K_casez},
451       {"",0,0}, {"",0,0},
452 #line 206 "./lexor_keyword.gperf"
453       {"merged",			GN_KEYWORDS_VAMS_2_3,		K_merged},
454 #line 201 "./lexor_keyword.gperf"
455       {"longint",		GN_KEYWORDS_1800_2005,		K_longint},
456       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
457 #line 87 "./lexor_keyword.gperf"
458       {"cross",			GN_KEYWORDS_1800_2005,		K_cross},
459 #line 332 "./lexor_keyword.gperf"
460       {"use",			GN_KEYWORDS_1364_2001_CONFIG,	K_use},
461       {"",0,0}, {"",0,0},
462 #line 92 "./lexor_keyword.gperf"
463       {"default",		GN_KEYWORDS_1364_1995,		K_default},
464 #line 51 "./lexor_keyword.gperf"
465       {"begin",			GN_KEYWORDS_1364_1995,		K_begin},
466       {"",0,0}, {"",0,0}, {"",0,0},
467 #line 203 "./lexor_keyword.gperf"
468       {"matches",		GN_KEYWORDS_1800_2005,		K_matches},
469       {"",0,0}, {"",0,0},
470 #line 308 "./lexor_keyword.gperf"
471       {"timeprecision",		GN_KEYWORDS_1800_2005,		K_timeprecision},
472       {"",0,0},
473 #line 67 "./lexor_keyword.gperf"
474       {"cell",			GN_KEYWORDS_1364_2001_CONFIG,	K_cell},
475 #line 136 "./lexor_keyword.gperf"
476       {"final",			GN_KEYWORDS_1800_2005,		K_final},
477       {"",0,0}, {"",0,0},
478 #line 99 "./lexor_keyword.gperf"
479       {"do",			GN_KEYWORDS_1800_2005,		K_do},
480 #line 314 "./lexor_keyword.gperf"
481       {"transition",		GN_KEYWORDS_VAMS_2_3,		K_transition},
482 #line 319 "./lexor_keyword.gperf"
483       {"trior",			GN_KEYWORDS_1364_1995,		K_trior},
484 #line 198 "./lexor_keyword.gperf"
485       {"log",			GN_KEYWORDS_VAMS_2_3,		K_log},
486       {"",0,0},
487 #line 291 "./lexor_keyword.gperf"
488       {"strong",			GN_KEYWORDS_1800_2009,		K_strong},
489 #line 78 "./lexor_keyword.gperf"
490       {"constraint",		GN_KEYWORDS_1800_2005,		K_constraint},
491       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
492 #line 74 "./lexor_keyword.gperf"
493       {"connect",		GN_KEYWORDS_VAMS_2_3,		K_connect},
494 #line 109 "./lexor_keyword.gperf"
495       {"endclocking",		GN_KEYWORDS_1800_2005,		K_endclocking},
496       {"",0,0}, {"",0,0}, {"",0,0},
497 #line 232 "./lexor_keyword.gperf"
498       {"posedge",		GN_KEYWORDS_1364_1995,		K_posedge},
499       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
500 #line 282 "./lexor_keyword.gperf"
501       {"soft",			GN_KEYWORDS_1800_2012,		K_soft},
502 #line 114 "./lexor_keyword.gperf"
503       {"endgroup",		GN_KEYWORDS_1800_2005,		K_endgroup},
504       {"",0,0}, {"",0,0},
505 #line 160 "./lexor_keyword.gperf"
506       {"if",			GN_KEYWORDS_1364_1995,		K_if},
507 #line 217 "./lexor_keyword.gperf"
508       {"nmos",			GN_KEYWORDS_1364_1995,		K_nmos},
509 #line 326 "./lexor_keyword.gperf"
510       {"units",			GN_KEYWORDS_VAMS_2_3,		K_units},
511       {"",0,0}, {"",0,0},
512 #line 222 "./lexor_keyword.gperf"
513       {"notif0",			GN_KEYWORDS_1364_1995,		K_notif0},
514       {"",0,0}, {"",0,0},
515 #line 170 "./lexor_keyword.gperf"
516       {"inf",			GN_KEYWORDS_VAMS_2_3,		K_inf},
517 #line 62 "./lexor_keyword.gperf"
518       {"byte",			GN_KEYWORDS_1800_2005,		K_byte},
519       {"",0,0}, {"",0,0},
520 #line 328 "./lexor_keyword.gperf"
521       {"unsigned",		GN_KEYWORDS_1364_2001,		K_unsigned},
522       {"",0,0}, {"",0,0}, {"",0,0},
523 #line 292 "./lexor_keyword.gperf"
524       {"strong0",		GN_KEYWORDS_1364_1995,		K_strong0},
525       {"",0,0}, {"",0,0}, {"",0,0},
526 #line 213 "./lexor_keyword.gperf"
527       {"net_resolution",		GN_KEYWORDS_VAMS_2_3,		K_net_resolution},
528 #line 312 "./lexor_keyword.gperf"
529       {"tranif0",		GN_KEYWORDS_1364_1995,		K_tranif0},
530       {"",0,0}, {"",0,0}, {"",0,0},
531 #line 162 "./lexor_keyword.gperf"
532       {"ifnone",			GN_KEYWORDS_1364_1995,		K_ifnone},
533 #line 340 "./lexor_keyword.gperf"
534       {"wand",			GN_KEYWORDS_1364_1995,		K_wand},
535       {"",0,0},
536 #line 135 "./lexor_keyword.gperf"
537       {"extern",			GN_KEYWORDS_1800_2005,		K_extern},
538 #line 139 "./lexor_keyword.gperf"
539       {"flicker_noise",		GN_KEYWORDS_VAMS_2_3,		K_flicker_noise},
540 #line 45 "./lexor_keyword.gperf"
541       {"assume",			GN_KEYWORDS_1800_2005,		K_assume},
542       {"",0,0},
543 #line 128 "./lexor_keyword.gperf"
544       {"event",			GN_KEYWORDS_1364_1995,		K_event},
545       {"",0,0},
546 #line 134 "./lexor_keyword.gperf"
547       {"extends",		GN_KEYWORDS_1800_2005,		K_extends},
548 #line 211 "./lexor_keyword.gperf"
549       {"nature",			GN_KEYWORDS_VAMS_2_3,		K_nature},
550       {"",0,0},
551 #line 300 "./lexor_keyword.gperf"
552       {"table",			GN_KEYWORDS_1364_1995,		K_table},
553       {"",0,0}, {"",0,0},
554 #line 183 "./lexor_keyword.gperf"
555       {"join_any",		GN_KEYWORDS_1800_2005,		K_join_any},
556       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
557 #line 304 "./lexor_keyword.gperf"
558       {"task",			GN_KEYWORDS_1364_1995,		K_task},
559       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
560 #line 305 "./lexor_keyword.gperf"
561       {"this",			GN_KEYWORDS_1800_2005,		K_this},
562 #line 281 "./lexor_keyword.gperf"
563       {"small",			GN_KEYWORDS_1364_1995,		K_small},
564 #line 254 "./lexor_keyword.gperf"
565       {"ref",			GN_KEYWORDS_1800_2005,		K_ref},
566       {"",0,0},
567 #line 261 "./lexor_keyword.gperf"
568       {"return",			GN_KEYWORDS_1800_2005,		K_return},
569 #line 338 "./lexor_keyword.gperf"
570       {"wait",			GN_KEYWORDS_1364_1995,		K_wait},
571 #line 200 "./lexor_keyword.gperf"
572       {"logic",	GN_KEYWORDS_1800_2005|GN_KEYWORDS_ICARUS,	K_logic},
573       {"",0,0}, {"",0,0},
574 #line 216 "./lexor_keyword.gperf"
575       {"nexttime",		GN_KEYWORDS_1800_2009,		K_nexttime},
576 #line 89 "./lexor_keyword.gperf"
577       {"ddt_nature",		GN_KEYWORDS_VAMS_2_3,		K_ddt_nature},
578       {"",0,0}, {"",0,0}, {"",0,0},
579 #line 294 "./lexor_keyword.gperf"
580       {"struct",			GN_KEYWORDS_1800_2005,		K_struct},
581 #line 347 "./lexor_keyword.gperf"
582       {"wire",			GN_KEYWORDS_1364_1995,		K_wire},
583       {"",0,0}, {"",0,0},
584 #line 322 "./lexor_keyword.gperf"
585       {"typedef",		GN_KEYWORDS_1800_2005,		K_typedef},
586 #line 100 "./lexor_keyword.gperf"
587       {"domain",			GN_KEYWORDS_VAMS_2_3,		K_domain},
588       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
589 #line 235 "./lexor_keyword.gperf"
590       {"primitive",		GN_KEYWORDS_1364_1995,		K_primitive},
591       {"",0,0},
592 #line 262 "./lexor_keyword.gperf"
593       {"rnmos",			GN_KEYWORDS_1364_1995,		K_rnmos},
594       {"",0,0}, {"",0,0}, {"",0,0},
595 #line 165 "./lexor_keyword.gperf"
596       {"implies",		GN_KEYWORDS_1800_2009,		K_implies},
597       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
598 #line 159 "./lexor_keyword.gperf"
599       {"idt_nature",		GN_KEYWORDS_VAMS_2_3,		K_idt_nature},
600 #line 266 "./lexor_keyword.gperf"
601       {"rtranif1",		GN_KEYWORDS_1364_1995,		K_rtranif1},
602       {"",0,0}, {"",0,0},
603 #line 229 "./lexor_keyword.gperf"
604       {"parameter",		GN_KEYWORDS_1364_1995,		K_parameter},
605 #line 72 "./lexor_keyword.gperf"
606       {"cmos",			GN_KEYWORDS_1364_1995,		K_cmos},
607 #line 273 "./lexor_keyword.gperf"
608       {"sequence",		GN_KEYWORDS_1800_2005,		K_sequence},
609       {"",0,0}, {"",0,0},
610 #line 228 "./lexor_keyword.gperf"
611       {"packed",			GN_KEYWORDS_1800_2005,		K_packed},
612 #line 185 "./lexor_keyword.gperf"
613       {"laplace_nd",		GN_KEYWORDS_VAMS_2_3,		K_laplace_nd},
614 #line 329 "./lexor_keyword.gperf"
615       {"until",			GN_KEYWORDS_1800_2009,		K_until},
616       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
617 #line 41 "./lexor_keyword.gperf"
618       {"asinh",			GN_KEYWORDS_VAMS_2_3,		K_asinh},
619       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
620       {"",0,0},
621 #line 331 "./lexor_keyword.gperf"
622       {"untyped",		GN_KEYWORDS_1800_2009,		K_untyped},
623       {"",0,0}, {"",0,0},
624 #line 267 "./lexor_keyword.gperf"
625       {"s_always",		GN_KEYWORDS_1800_2009,		K_s_always},
626       {"",0,0}, {"",0,0}, {"",0,0},
627 #line 192 "./lexor_keyword.gperf"
628       {"liblist",		GN_KEYWORDS_1364_2001_CONFIG,	K_liblist},
629       {"",0,0}, {"",0,0}, {"",0,0},
630 #line 324 "./lexor_keyword.gperf"
631       {"unique",			GN_KEYWORDS_1800_2005,		K_unique},
632       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
633       {"",0,0},
634 #line 196 "./lexor_keyword.gperf"
635       {"local",			GN_KEYWORDS_1800_2005,		K_local},
636 #line 142 "./lexor_keyword.gperf"
637       {"for",			GN_KEYWORDS_1364_1995,		K_for},
638       {"",0,0},
639 #line 239 "./lexor_keyword.gperf"
640       {"protected",		GN_KEYWORDS_1800_2005,		K_protected},
641 #line 269 "./lexor_keyword.gperf"
642       {"s_nexttime",		GN_KEYWORDS_1800_2009,		K_s_nexttime},
643 #line 48 "./lexor_keyword.gperf"
644       {"atanh",			GN_KEYWORDS_VAMS_2_3,		K_atanh},
645       {"",0,0}, {"",0,0}, {"",0,0},
646 #line 227 "./lexor_keyword.gperf"
647       {"package",		GN_KEYWORDS_1800_2005,		K_package},
648 #line 357 "./lexor_keyword.gperf"
649       {"zi_nd",			GN_KEYWORDS_VAMS_2_3,		K_zi_nd},
650       {"",0,0}, {"",0,0},
651 #line 25 "./lexor_keyword.gperf"
652       {"abstol",			GN_KEYWORDS_VAMS_2_3,		K_abstol},
653 #line 231 "./lexor_keyword.gperf"
654       {"pmos",			GN_KEYWORDS_1364_1995,		K_pmos},
655 #line 174 "./lexor_keyword.gperf"
656       {"input",			GN_KEYWORDS_1364_1995,		K_input},
657       {"",0,0}, {"",0,0}, {"",0,0},
658 #line 145 "./lexor_keyword.gperf"
659       {"forever",		GN_KEYWORDS_1364_1995,		K_forever},
660       {"",0,0}, {"",0,0}, {"",0,0},
661 #line 233 "./lexor_keyword.gperf"
662       {"potential",		GN_KEYWORDS_VAMS_2_3,		K_potential},
663       {"",0,0},
664 #line 144 "./lexor_keyword.gperf"
665       {"force",			GN_KEYWORDS_1364_1995,		K_force},
666       {"",0,0},
667 #line 284 "./lexor_keyword.gperf"
668       {"specify",		GN_KEYWORDS_1364_1995,		K_specify},
669 #line 122 "./lexor_keyword.gperf"
670       {"endproperty",		GN_KEYWORDS_1800_2005,		K_endproperty},
671 #line 351 "./lexor_keyword.gperf"
672       {"wone",			GN_KEYWORDS_1364_2005,		K_wone},
673 #line 71 "./lexor_keyword.gperf"
674       {"clocking",		GN_KEYWORDS_1800_2005,		K_clocking},
675       {"",0,0}, {"",0,0},
676 #line 64 "./lexor_keyword.gperf"
677       {"casex",			GN_KEYWORDS_1364_1995,		K_casex},
678       {"",0,0},
679 #line 346 "./lexor_keyword.gperf"
680       {"wildcard",		GN_KEYWORDS_1800_2005,		K_wildcard},
681       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
682 #line 251 "./lexor_keyword.gperf"
683       {"rcmos",			GN_KEYWORDS_1364_1995,		K_rcmos},
684       {"",0,0}, {"",0,0},
685 #line 24 "./lexor_keyword.gperf"
686       {"absdelay",		GN_KEYWORDS_VAMS_2_3,		K_absdelay},
687 #line 293 "./lexor_keyword.gperf"
688       {"strong1",		GN_KEYWORDS_1364_1995,		K_strong1},
689       {"",0,0},
690 #line 132 "./lexor_keyword.gperf"
691       {"expect",			GN_KEYWORDS_1800_2005,		K_expect},
692       {"",0,0},
693 #line 33 "./lexor_keyword.gperf"
694       {"always",			GN_KEYWORDS_1364_1995,		K_always},
695 #line 313 "./lexor_keyword.gperf"
696       {"tranif1",		GN_KEYWORDS_1364_1995,		K_tranif1},
697       {"",0,0},
698 #line 164 "./lexor_keyword.gperf"
699       {"illegal_bins",		GN_KEYWORDS_1800_2005,		K_illegal_bins},
700       {"",0,0}, {"",0,0},
701 #line 246 "./lexor_keyword.gperf"
702       {"pure",			GN_KEYWORDS_1800_2005,		K_pure},
703 #line 295 "./lexor_keyword.gperf"
704       {"super",			GN_KEYWORDS_1800_2005,		K_super},
705       {"",0,0}, {"",0,0},
706 #line 73 "./lexor_keyword.gperf"
707       {"config",			GN_KEYWORDS_1364_2001_CONFIG,	K_config},
708 #line 317 "./lexor_keyword.gperf"
709       {"tri1",			GN_KEYWORDS_1364_1995,		K_tri1},
710 #line 354 "./lexor_keyword.gperf"
711       {"wreal",	GN_KEYWORDS_VAMS_2_3|GN_KEYWORDS_ICARUS,	K_wreal},
712       {"",0,0},
713 #line 75 "./lexor_keyword.gperf"
714       {"connectmodule",		GN_KEYWORDS_VAMS_2_3,		K_connectmodule},
715 #line 167 "./lexor_keyword.gperf"
716       {"import",			GN_KEYWORDS_1800_2005,		K_import},
717       {"",0,0},
718 #line 323 "./lexor_keyword.gperf"
719       {"union",			GN_KEYWORDS_1800_2005,		K_union},
720       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
721 #line 173 "./lexor_keyword.gperf"
722       {"inout",			GN_KEYWORDS_1364_1995,		K_inout},
723       {"",0,0}, {"",0,0},
724 #line 236 "./lexor_keyword.gperf"
725       {"priority",		GN_KEYWORDS_1800_2005,		K_priority},
726       {"",0,0}, {"",0,0},
727 #line 161 "./lexor_keyword.gperf"
728       {"iff",			GN_KEYWORDS_1800_2005,		K_iff},
729       {"",0,0}, {"",0,0},
730 #line 325 "./lexor_keyword.gperf"
731       {"unique0",		GN_KEYWORDS_1800_2009,		K_unique},
732 #line 57 "./lexor_keyword.gperf"
733       {"break",			GN_KEYWORDS_1800_2005,		K_break},
734       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
735 #line 335 "./lexor_keyword.gperf"
736       {"vectored",		GN_KEYWORDS_1364_1995,		K_vectored},
737       {"",0,0},
738 #line 130 "./lexor_keyword.gperf"
739       {"exclude",		GN_KEYWORDS_VAMS_2_3,		K_exclude},
740       {"",0,0}, {"",0,0},
741 #line 263 "./lexor_keyword.gperf"
742       {"rpmos",			GN_KEYWORDS_1364_1995,		K_rpmos},
743       {"",0,0}, {"",0,0},
744 #line 194 "./lexor_keyword.gperf"
745       {"limexp",			GN_KEYWORDS_VAMS_2_3,		K_limexp},
746 #line 121 "./lexor_keyword.gperf"
747       {"endprogram",		GN_KEYWORDS_1800_2005,		K_endprogram},
748       {"",0,0},
749 #line 334 "./lexor_keyword.gperf"
750       {"var",			GN_KEYWORDS_1800_2005,		K_var},
751       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
752       {"",0,0}, {"",0,0},
753 #line 186 "./lexor_keyword.gperf"
754       {"laplace_np",		GN_KEYWORDS_VAMS_2_3,		K_laplace_np},
755 #line 93 "./lexor_keyword.gperf"
756       {"defparam",		GN_KEYWORDS_1364_1995,		K_defparam},
757       {"",0,0}, {"",0,0},
758 #line 151 "./lexor_keyword.gperf"
759       {"genvar",			GN_KEYWORDS_1364_2001,		K_genvar},
760 #line 208 "./lexor_keyword.gperf"
761       {"modport",		GN_KEYWORDS_1800_2005,		K_modport},
762       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
763       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
764       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
765 #line 224 "./lexor_keyword.gperf"
766       {"null",			GN_KEYWORDS_1800_2005,		K_null},
767       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
768 #line 32 "./lexor_keyword.gperf"
769       {"aliasparam",		GN_KEYWORDS_VAMS_2_3,		K_aliasparam},
770       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
771 #line 337 "./lexor_keyword.gperf"
772       {"void",			GN_KEYWORDS_1800_2005,		K_void},
773       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
774 #line 68 "./lexor_keyword.gperf"
775       {"chandle",		GN_KEYWORDS_1800_2005,		K_chandle},
776       {"",0,0}, {"",0,0}, {"",0,0},
777 #line 205 "./lexor_keyword.gperf"
778       {"medium",			GN_KEYWORDS_1364_1995,		K_medium},
779       {"",0,0},
780 #line 358 "./lexor_keyword.gperf"
781       {"zi_np",			GN_KEYWORDS_VAMS_2_3,		K_zi_np},
782       {"",0,0}, {"",0,0}, {"",0,0},
783 #line 81 "./lexor_keyword.gperf"
784       {"continuous",		GN_KEYWORDS_VAMS_2_3,		K_continuous},
785       {"",0,0}, {"",0,0}, {"",0,0},
786 #line 50 "./lexor_keyword.gperf"
787       {"before",			GN_KEYWORDS_1800_2005,		K_before},
788 #line 69 "./lexor_keyword.gperf"
789       {"checker",		GN_KEYWORDS_1800_2009,		K_checker},
790       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
791 #line 131 "./lexor_keyword.gperf"
792       {"exp",			GN_KEYWORDS_VAMS_2_3,		K_exp},
793       {"",0,0}, {"",0,0},
794 #line 193 "./lexor_keyword.gperf"
795       {"library",		GN_KEYWORDS_1364_2001_CONFIG,	K_library},
796       {"",0,0},
797 #line 30 "./lexor_keyword.gperf"
798       {"ac_stim",		GN_KEYWORDS_VAMS_2_3,		K_ac_stim},
799 #line 149 "./lexor_keyword.gperf"
800       {"function",		GN_KEYWORDS_1364_1995,		K_function},
801       {"",0,0}, {"",0,0}, {"",0,0},
802 #line 336 "./lexor_keyword.gperf"
803       {"virtual",		GN_KEYWORDS_1800_2005,		K_virtual},
804 #line 342 "./lexor_keyword.gperf"
805       {"weak0",			GN_KEYWORDS_1364_1995,		K_weak0},
806 #line 352 "./lexor_keyword.gperf"
807       {"wor",			GN_KEYWORDS_1364_1995,		K_wor},
808       {"",0,0}, {"",0,0},
809 #line 187 "./lexor_keyword.gperf"
810       {"laplace_zd",		GN_KEYWORDS_VAMS_2_3,		K_laplace_zd},
811       {"",0,0}, {"",0,0}, {"",0,0},
812 #line 153 "./lexor_keyword.gperf"
813       {"ground",			GN_KEYWORDS_VAMS_2_3,		K_ground},
814 #line 166 "./lexor_keyword.gperf"
815       {"implements",		GN_KEYWORDS_1800_2012,		K_implements},
816       {"",0,0}, {"",0,0}, {"",0,0},
817 #line 54 "./lexor_keyword.gperf"
818       {"binsof",			GN_KEYWORDS_1800_2005,		K_binsof},
819       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
820 #line 223 "./lexor_keyword.gperf"
821       {"notif1",			GN_KEYWORDS_1364_1995,		K_notif1},
822       {"",0,0},
823 #line 283 "./lexor_keyword.gperf"
824       {"solve",			GN_KEYWORDS_1800_2005,		K_solve},
825 #line 215 "./lexor_keyword.gperf"
826       {"new",			GN_KEYWORDS_1800_2005,		K_new},
827 #line 79 "./lexor_keyword.gperf"
828       {"context",		GN_KEYWORDS_1800_2005,		K_context},
829 #line 285 "./lexor_keyword.gperf"
830       {"specparam",		GN_KEYWORDS_1364_1995,		K_specparam},
831       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
832 #line 226 "./lexor_keyword.gperf"
833       {"output",			GN_KEYWORDS_1364_1995,		K_output},
834       {"",0,0}, {"",0,0}, {"",0,0},
835 #line 244 "./lexor_keyword.gperf"
836       {"pulsestyle_onevent",	GN_KEYWORDS_1364_2001,		K_pulsestyle_onevent},
837 #line 245 "./lexor_keyword.gperf"
838       {"pulsestyle_ondetect",	GN_KEYWORDS_1364_2001,		K_pulsestyle_ondetect},
839 #line 237 "./lexor_keyword.gperf"
840       {"program",		GN_KEYWORDS_1800_2005,		K_program},
841 #line 274 "./lexor_keyword.gperf"
842       {"shortint",		GN_KEYWORDS_1800_2005,		K_shortint},
843       {"",0,0}, {"",0,0}, {"",0,0},
844 #line 137 "./lexor_keyword.gperf"
845       {"final_step",		GN_KEYWORDS_VAMS_2_3,		K_final_step},
846       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
847 #line 339 "./lexor_keyword.gperf"
848       {"wait_order",		GN_KEYWORDS_1800_2005,		K_wait_order},
849 #line 359 "./lexor_keyword.gperf"
850       {"zi_zd",			GN_KEYWORDS_VAMS_2_3,		K_zi_zd},
851       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
852       {"",0,0}, {"",0,0}, {"",0,0},
853 #line 356 "./lexor_keyword.gperf"
854       {"xor",			GN_KEYWORDS_1364_1995,		K_xor},
855 #line 140 "./lexor_keyword.gperf"
856       {"floor",			GN_KEYWORDS_VAMS_2_3,		K_floor},
857       {"",0,0}, {"",0,0},
858 #line 238 "./lexor_keyword.gperf"
859       {"property",		GN_KEYWORDS_1800_2005,		K_property},
860       {"",0,0},
861 #line 29 "./lexor_keyword.gperf"
862       {"acosh",			GN_KEYWORDS_VAMS_2_3,		K_acosh},
863       {"",0,0},
864 #line 355 "./lexor_keyword.gperf"
865       {"xnor",			GN_KEYWORDS_1364_1995,		K_xnor},
866       {"",0,0}, {"",0,0}, {"",0,0},
867 #line 76 "./lexor_keyword.gperf"
868       {"connectrules",		GN_KEYWORDS_VAMS_2_3,		K_connectrules},
869       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
870 #line 133 "./lexor_keyword.gperf"
871       {"export",			GN_KEYWORDS_1800_2005,		K_export},
872       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
873 #line 101 "./lexor_keyword.gperf"
874       {"driver_update",		GN_KEYWORDS_VAMS_2_3,		K_driver_update},
875       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
876       {"",0,0}, {"",0,0},
877 #line 240 "./lexor_keyword.gperf"
878       {"pull0",			GN_KEYWORDS_1364_1995,		K_pull0},
879       {"",0,0}, {"",0,0},
880 #line 209 "./lexor_keyword.gperf"
881       {"module",			GN_KEYWORDS_1364_1995,		K_module},
882 #line 127 "./lexor_keyword.gperf"
883       {"enum",			GN_KEYWORDS_1800_2005,		K_enum},
884 #line 84 "./lexor_keyword.gperf"
885       {"cover",			GN_KEYWORDS_1800_2005,		K_cover},
886       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
887       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
888       {"",0,0}, {"",0,0}, {"",0,0},
889 #line 270 "./lexor_keyword.gperf"
890       {"s_until",		GN_KEYWORDS_1800_2009,		K_s_until},
891       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
892 #line 341 "./lexor_keyword.gperf"
893       {"weak",			GN_KEYWORDS_1800_2009,		K_weak},
894       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
895       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
896       {"",0,0}, {"",0,0}, {"",0,0},
897 #line 152 "./lexor_keyword.gperf"
898       {"global",			GN_KEYWORDS_1800_2009,		K_global},
899 #line 280 "./lexor_keyword.gperf"
900       {"slew",			GN_KEYWORDS_VAMS_2_3,		K_slew},
901       {"",0,0}, {"",0,0}, {"",0,0},
902 #line 349 "./lexor_keyword.gperf"
903       {"within",			GN_KEYWORDS_1800_2005,		K_within},
904       {"",0,0},
905 #line 218 "./lexor_keyword.gperf"
906       {"noise_table",		GN_KEYWORDS_VAMS_2_3,		K_noise_table},
907       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
908       {"",0,0},
909 #line 90 "./lexor_keyword.gperf"
910       {"ddx",			GN_KEYWORDS_VAMS_2_3,		K_ddx},
911       {"",0,0},
912 #line 188 "./lexor_keyword.gperf"
913       {"laplace_zp",		GN_KEYWORDS_VAMS_2_3,		K_laplace_zp},
914 #line 333 "./lexor_keyword.gperf"
915       {"uwire",			GN_KEYWORDS_1364_2005,		K_uwire},
916       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
917       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
918       {"",0,0}, {"",0,0}, {"",0,0},
919 #line 146 "./lexor_keyword.gperf"
920       {"fork",			GN_KEYWORDS_1364_1995,		K_fork},
921 #line 343 "./lexor_keyword.gperf"
922       {"weak1",			GN_KEYWORDS_1364_1995,		K_weak1},
923       {"",0,0}, {"",0,0}, {"",0,0},
924 #line 197 "./lexor_keyword.gperf"
925       {"localparam",		GN_KEYWORDS_1364_2001,		K_localparam},
926       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
927 #line 58 "./lexor_keyword.gperf"
928       {"bool",			GN_KEYWORDS_ICARUS,		K_bool},
929 #line 147 "./lexor_keyword.gperf"
930       {"forkjoin",		GN_KEYWORDS_1800_2005,		K_forkjoin},
931       {"",0,0},
932 #line 296 "./lexor_keyword.gperf"
933       {"supply0",		GN_KEYWORDS_1364_1995,		K_supply0},
934       {"",0,0},
935 #line 279 "./lexor_keyword.gperf"
936       {"sinh",			GN_KEYWORDS_VAMS_2_3,		K_sinh},
937       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
938       {"",0,0}, {"",0,0}, {"",0,0},
939 #line 49 "./lexor_keyword.gperf"
940       {"automatic",		GN_KEYWORDS_1364_2001,		K_automatic},
941 #line 86 "./lexor_keyword.gperf"
942       {"coverpoint",		GN_KEYWORDS_1800_2005,		K_coverpoint},
943 #line 360 "./lexor_keyword.gperf"
944       {"zi_zp",			GN_KEYWORDS_VAMS_2_3,		K_zi_zp},
945       {"",0,0}, {"",0,0},
946 #line 156 "./lexor_keyword.gperf"
947       {"hypot",			GN_KEYWORDS_VAMS_2_3,		K_hypot},
948 #line 148 "./lexor_keyword.gperf"
949       {"from",			GN_KEYWORDS_VAMS_2_3,		K_from},
950       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
951       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
952       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
953 #line 303 "./lexor_keyword.gperf"
954       {"tanh",			GN_KEYWORDS_VAMS_2_3,		K_tanh},
955       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
956 #line 242 "./lexor_keyword.gperf"
957       {"pulldown",		GN_KEYWORDS_1364_1995,		K_pulldown},
958       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
959 #line 344 "./lexor_keyword.gperf"
960       {"while",			GN_KEYWORDS_1364_1995,		K_while},
961       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
962       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
963       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
964       {"",0,0}, {"",0,0}, {"",0,0},
965 #line 268 "./lexor_keyword.gperf"
966       {"s_eventually",		GN_KEYWORDS_1800_2009,		K_s_eventually},
967       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
968       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
969 #line 241 "./lexor_keyword.gperf"
970       {"pull1",			GN_KEYWORDS_1364_1995,		K_pull1},
971       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
972       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
973       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
974       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
975       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
976 #line 22 "./lexor_keyword.gperf"
977       {"above",			GN_KEYWORDS_VAMS_2_3,		K_above},
978       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
979       {"",0,0}, {"",0,0},
980 #line 275 "./lexor_keyword.gperf"
981       {"shortreal",		GN_KEYWORDS_1800_2005,		K_shortreal},
982       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
983 #line 35 "./lexor_keyword.gperf"
984       {"always_ff",		GN_KEYWORDS_1800_2005,		K_always_ff},
985       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
986 #line 143 "./lexor_keyword.gperf"
987       {"foreach",		GN_KEYWORDS_1800_2005,		K_foreach},
988       {"",0,0}, {"",0,0}, {"",0,0},
989 #line 243 "./lexor_keyword.gperf"
990       {"pullup",			GN_KEYWORDS_1364_1995,		K_pullup},
991       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
992       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
993       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
994       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
995 #line 220 "./lexor_keyword.gperf"
996       {"noshowcancelled",	GN_KEYWORDS_1364_2001,		K_noshowcancelled},
997       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
998       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
999       {"",0,0}, {"",0,0},
1000 #line 297 "./lexor_keyword.gperf"
1001       {"supply1",		GN_KEYWORDS_1364_1995,		K_supply1},
1002       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1003       {"",0,0}, {"",0,0},
1004 #line 202 "./lexor_keyword.gperf"
1005       {"macromodule",		GN_KEYWORDS_1364_1995,		K_macromodule},
1006       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1007 #line 59 "./lexor_keyword.gperf"
1008       {"buf",			GN_KEYWORDS_1364_1995,		K_buf},
1009 #line 129 "./lexor_keyword.gperf"
1010       {"eventually",		GN_KEYWORDS_1800_2009,		K_eventually},
1011       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1012       {"",0,0}, {"",0,0},
1013 #line 138 "./lexor_keyword.gperf"
1014       {"first_match",		GN_KEYWORDS_1800_2005,		K_first_match},
1015       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1016       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1017 #line 234 "./lexor_keyword.gperf"
1018       {"pow",			GN_KEYWORDS_VAMS_2_3,		K_pow},
1019       {"",0,0},
1020 #line 60 "./lexor_keyword.gperf"
1021       {"bufif0",			GN_KEYWORDS_1364_1995,		K_bufif0},
1022       {"",0,0}, {"",0,0}, {"",0,0},
1023 #line 276 "./lexor_keyword.gperf"
1024       {"showcancelled",		GN_KEYWORDS_1364_2001,		K_showcancelled},
1025       {"",0,0}, {"",0,0}, {"",0,0},
1026 #line 36 "./lexor_keyword.gperf"
1027       {"always_latch",		GN_KEYWORDS_1800_2005,		K_always_latch},
1028       {"",0,0}, {"",0,0}, {"",0,0},
1029 #line 34 "./lexor_keyword.gperf"
1030       {"always_comb",		GN_KEYWORDS_1800_2005,		K_always_comb},
1031       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1032       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1033       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1034       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1035       {"",0,0},
1036 #line 204 "./lexor_keyword.gperf"
1037       {"max",			GN_KEYWORDS_VAMS_2_3,		K_max},
1038       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1039 #line 154 "./lexor_keyword.gperf"
1040       {"highz0",			GN_KEYWORDS_1364_1995,		K_highz0},
1041       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1042       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1043 #line 259 "./lexor_keyword.gperf"
1044       {"resolveto",		GN_KEYWORDS_VAMS_2_3,		K_resolveto},
1045       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1046       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1047       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1048 #line 83 "./lexor_keyword.gperf"
1049       {"cosh",			GN_KEYWORDS_VAMS_2_3,		K_cosh},
1050       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1051       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1052       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1053 #line 306 "./lexor_keyword.gperf"
1054       {"throughout",		GN_KEYWORDS_1800_2005,		K_throughout},
1055       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1056       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1057 #line 330 "./lexor_keyword.gperf"
1058       {"until_with",		GN_KEYWORDS_1800_2009,		K_until_with},
1059       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1060       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1061       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1062       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1063 #line 345 "./lexor_keyword.gperf"
1064       {"white_noise",		GN_KEYWORDS_VAMS_2_3,		K_white_noise},
1065       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1066       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1067       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1068       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1069       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1070       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1071       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1072       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1073       {"",0,0}, {"",0,0}, {"",0,0},
1074 #line 141 "./lexor_keyword.gperf"
1075       {"flow",			GN_KEYWORDS_VAMS_2_3,		K_flow},
1076       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1077       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1078       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1079       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1080       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1081 #line 348 "./lexor_keyword.gperf"
1082       {"with",			GN_KEYWORDS_1800_2005,		K_with},
1083       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1084       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1085       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1086       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1087       {"",0,0}, {"",0,0}, {"",0,0},
1088 #line 56 "./lexor_keyword.gperf"
1089       {"branch",			GN_KEYWORDS_VAMS_2_3,		K_branch},
1090       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1091       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1092       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1093       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1094       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1095       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1096 #line 85 "./lexor_keyword.gperf"
1097       {"covergroup",		GN_KEYWORDS_1800_2005,		K_covergroup},
1098       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1099       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1100       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1101       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1102       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1103       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1104       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1105       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1106       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1107       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1108       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1109       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1110       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1111       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1112       {"",0,0}, {"",0,0}, {"",0,0},
1113 #line 61 "./lexor_keyword.gperf"
1114       {"bufif1",			GN_KEYWORDS_1364_1995,		K_bufif1},
1115       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1116       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1117       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1118       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1119       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1120       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1121       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1122       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1123 #line 155 "./lexor_keyword.gperf"
1124       {"highz1",			GN_KEYWORDS_1364_1995,		K_highz1},
1125       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1126       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1127       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1128       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1129       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1130       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1131       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1132       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1133       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1134       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1135       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1136       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1137       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1138       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1139       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1140       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1141       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1142       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1143       {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0}, {"",0,0},
1144       {"",0,0}, {"",0,0},
1145 #line 271 "./lexor_keyword.gperf"
1146       {"s_until_with",		GN_KEYWORDS_1800_2009,		K_s_until_with}
1147     };
1148 
1149   if (len <= MAX_WORD_LENGTH && len >= MIN_WORD_LENGTH)
1150     {
1151       unsigned int key = keyword_hash (str, len);
1152 
1153       if (key <= MAX_HASH_VALUE)
1154         {
1155           const char *s = wordlist[key].name;
1156 
1157           if (*str == *s && !strcmp (str + 1, s + 1))
1158             return &wordlist[key];
1159         }
1160     }
1161   return 0;
1162 }
1163 #line 361 "./lexor_keyword.gperf"
1164 
1165 
1166 int lexor_keyword_mask = 0;
1167 
lexor_keyword_code(const char * str,unsigned nstr)1168 int lexor_keyword_code(const char*str, unsigned nstr)
1169 {
1170       const struct lexor_keyword*rc = Lkwd::check_identifier(str, nstr);
1171       if (rc == 0)
1172 	  return IDENTIFIER;
1173       else if ((rc->mask & lexor_keyword_mask) == 0)
1174           return IDENTIFIER;
1175       else
1176 	  return rc->tokenType;
1177 }
1178