1# resize reg1 (no placement) 2source "helpers.tcl" 3read_liberty Nangate45/Nangate45_typ.lib 4read_lef Nangate45/Nangate45.lef 5read_def reg2.def 6 7create_clock -name clk -period 1 {clk1 clk2 clk3} 8set_input_delay -clock clk 0 {in1 in2} 9# no placement, so add loads 10set_load 20 r1q 11set_load 20 r2q 12set_load 20 u1z 13set_load 20 u2z 14 15report_checks -fields {slew capacitance input_pin} -digits 3 16rsz::resize 17report_checks -fields {slew capacitance input_pin} -digits 3 18