1 // OpenSTA, Static Timing Analyzer
2 // Copyright (c) 2021, Parallax Software, Inc.
3 //
4 // This program is free software: you can redistribute it and/or modify
5 // it under the terms of the GNU General Public License as published by
6 // the Free Software Foundation, either version 3 of the License, or
7 // (at your option) any later version.
8 //
9 // This program is distributed in the hope that it will be useful,
10 // but WITHOUT ANY WARRANTY; without even the implied warranty of
11 // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12 // GNU General Public License for more details.
13 //
14 // You should have received a copy of the GNU General Public License
15 // along with this program.  If not, see <https://www.gnu.org/licenses/>.
16 
17 #include "NetCaps.hh"
18 
19 namespace sta {
20 
NetCaps()21 NetCaps::NetCaps()
22 {
23 }
24 
NetCaps(float pin_cap,float wire_cap,float fanout,bool has_set_load)25 NetCaps::NetCaps(float pin_cap,
26 		 float wire_cap,
27 		 float fanout,
28 		 bool has_set_load) :
29   pin_cap_(pin_cap),
30   wire_cap_(wire_cap),
31   fanout_(fanout),
32   has_set_load_(has_set_load)
33 {
34 }
35 
36 void
init(float pin_cap,float wire_cap,float fanout,bool has_set_load)37 NetCaps::init(float pin_cap,
38 	      float wire_cap,
39 	      float fanout,
40 	      bool has_set_load)
41 {
42   pin_cap_ = pin_cap;
43   wire_cap_ = wire_cap;
44   fanout_ = fanout;
45   has_set_load_ = has_set_load;
46 }
47 
48 } // namespace
49