1%Warning-CASEWITHX: t/t_case_zx_bad.v:16:9: Use of x constant in casez statement, (perhaps intended ?/z in constant)
2   16 |         4'b1xxx: $stop;
3      |         ^~~~~~~
4                    ... For warning description see https://verilator.org/warn/CASEWITHX?v=latest
5                    ... Use "/* verilator lint_off CASEWITHX */" and lint_on around source to disable this message.
6%Error: Exiting due to
7