1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2020 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(vlt_all => 1);
12
13# This test makes randomly named .cpp/.h files, which tend to collect, so remove them first
14foreach my $filename (glob ("$Self->{obj_dir}/*_PS*.cpp"
15                            . " $Self->{obj_dir}/*_PS*.h"
16                            . " $Self->{obj_dir}/*.d" )) {
17    print "rm $filename\n" if $Self->{verbose};
18    unlink $filename;
19}
20
21top_filename("t/t_class_extends_this.v");
22
23compile(
24    verilator_flags2 => ["--protect-ids",
25                         "--protect-key SECRET_KEY"]
26    );
27
28execute(
29    check_finished => 1,
30    );
31
32ok(1);
331;
34