1// DESCRIPTION: Verilator: Verilog Test module
2//
3// This file ONLY is placed under the Creative Commons Public Domain, for
4// any use, without warranty, 2017 by Wilson Snyder.
5// SPDX-License-Identifier: CC0-1.0
6
7module t (/*AUTOARG*/);
8   int one =
9`ifdef verilator
10       $c32(1)
11`else
12       1
13`endif
14       ;
15
16   initial begin
17      $display("Merge:");
18      $write("This ");
19      $write("should ");
20      $display("merge");
21
22      $display("f");
23      $write(" 1=%0d a=%m 1=%0d", one, one);
24      $display(" 1=%0d b=%m 1=%0d", one, one);
25      $display(" pre");
26      $display(" t=%0d", $time);
27      $display(" t2=%0d", $time);
28      $display(" post");
29      $display(" t3=%0d", $time);
30      $display(" t4=%0d t5=%0d", $time,$time,$time);
31      $display("m");
32      $display(" t=%0d t2=%0d t3=%0d t4=%0d t5=%0d", $time,$time,$time,$time,$time);
33      $display(" t=%0d t2=%0d t3=%0d t4=%0d t5=%0d", $time,$time,$time,$time,$time);
34      $display("mm");
35      $display("");
36
37      $write("f");
38      $write(" a=%m");
39      $write(" b=%m");
40      $write(" pre");
41      $write(" t=%0d", $time);
42      $write(" t2=%0d", $time);
43      $write(" post");
44      $write(" t3=%0d", $time);
45      $write(" t4=%0d t5=%0d", $time,$time,$time);
46      $write("m");
47      $write(" t=%0d t2=%0d t3=%0d t4=%0d t5=%0d", $time,$time,$time,$time,$time);
48      $write(" t=%0d t2=%0d t3=%0d t4=%0d t5=%0d", $time,$time,$time,$time,$time);
49      $display("mm");
50
51      $display("very very very very very very very very very very very very very very very very very very very very very very");
52      $display("very very very very very very very very very very very very very very very very very very very very very very");
53      $display("very very very very very very very very very very very very very very very very very very very very very very");
54      $display("very very very very very very very very very very very very very very very very very very very very very very");
55      $display("very very very very very very very very very very very very very very very very very very very very very very");
56      $display("very very very very very very very very very very very very very very very very very very very very very very");
57      $display("very very very very very very very very very very very very very very very very very very very very very very");
58      $display("%0d%0d%0d%0d%0d %0d%0d%0d%0d%0d",
59               one, one, one, one, one,
60               one, one, one, one, one);
61      $display("%0d%0d%0d%0d%0d %0d%0d%0d%0d%0d",
62               one, one, one, one, one,
63               one, one, one, one, one);
64      $write("\n*-* All Finished *-*\n");
65      $finish;
66   end
67endmodule
68