1// Verilated -*- C++ -*-
2// DESCRIPTION: Verilator output: Prototypes for DPI import and export functions.
3//
4// Verilator includes this file in all generated .cpp files that use DPI functions.
5// Manually include this file where DPI .c import functions are declared to ensure
6// the C functions match the expectations of the DPI imports.
7
8#ifndef VERILATED_VT_DPI_RESULT_TYPE__DPI_H_
9#define VERILATED_VT_DPI_RESULT_TYPE__DPI_H_  // guard
10
11#include "svdpi.h"
12
13#ifdef __cplusplus
14extern "C" {
15#endif
16
17
18 // DPI EXPORTS
19 extern svBitVecVal e_array_2_state_1();
20 extern svBitVecVal e_array_2_state_32();
21 extern svBit e_bit();
22 extern svBit e_bit_t();
23 extern char e_byte();
24 extern char e_byte_t();
25 extern unsigned char e_byte_unsigned();
26 extern unsigned char e_byte_unsigned_t();
27 extern void* e_chandle();
28 extern void* e_chandle_t();
29 extern int e_int();
30 extern int e_int_t();
31 extern unsigned int e_int_unsigned();
32 extern unsigned int e_int_unsigned_t();
33 extern svLogic e_logic();
34 extern svLogic e_logic_t();
35 extern long long e_longint();
36 extern long long e_longint_t();
37 extern unsigned long long e_longint_unsigned();
38 extern unsigned long long e_longint_unsigned_t();
39 extern double e_real();
40 extern double e_real_t();
41 extern short e_shortint();
42 extern short e_shortint_t();
43 extern unsigned short e_shortint_unsigned();
44 extern unsigned short e_shortint_unsigned_t();
45 extern const char* e_string();
46 extern const char* e_string_t();
47 extern svBitVecVal e_struct_2_state_1();
48 extern svBitVecVal e_struct_2_state_32();
49 extern svBitVecVal e_union_2_state_1();
50 extern svBitVecVal e_union_2_state_32();
51 extern void e_void();
52
53 // DPI IMPORTS
54 extern void check_exports();
55 extern svBitVecVal i_array_2_state_1();
56 extern svBitVecVal i_array_2_state_32();
57 extern svBit i_bit();
58 extern svBit i_bit_t();
59 extern char i_byte();
60 extern char i_byte_t();
61 extern unsigned char i_byte_unsigned();
62 extern unsigned char i_byte_unsigned_t();
63 extern void* i_chandle();
64 extern void* i_chandle_t();
65 extern int i_int();
66 extern int i_int_t();
67 extern unsigned int i_int_unsigned();
68 extern unsigned int i_int_unsigned_t();
69 extern svLogic i_logic();
70 extern svLogic i_logic_t();
71 extern long long i_longint();
72 extern long long i_longint_t();
73 extern unsigned long long i_longint_unsigned();
74 extern unsigned long long i_longint_unsigned_t();
75 extern double i_real();
76 extern double i_real_t();
77 extern short i_shortint();
78 extern short i_shortint_t();
79 extern unsigned short i_shortint_unsigned();
80 extern unsigned short i_shortint_unsigned_t();
81 extern const char* i_string();
82 extern const char* i_string_t();
83 extern svBitVecVal i_struct_2_state_1();
84 extern svBitVecVal i_struct_2_state_32();
85 extern svBitVecVal i_union_2_state_1();
86 extern svBitVecVal i_union_2_state_32();
87 extern void i_void();
88
89#ifdef __cplusplus
90}
91#endif
92
93#endif  // guard
94