1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2003 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(simulator => 1);
12$Self->{vlt_all} and unsupported("Verilator unsupported, bug413 short circuit");
13
14compile(
15    # Amazingly VCS, NC and Verilator all just accept the C file here!
16    v_flags2 => ["t/t_dpi_shortcircuit_c.cpp"],
17    verilator_flags2 => ["-Wno-DECLFILENAME"],
18    );
19
20execute(
21    check_finished => 1,
22    );
23
24ok(1);
251;
26