1 // -*- mode: C++; c-file-style: "cc-mode" -*-
2 //
3 // DESCRIPTION: Verilator: Verilog Test module
4 //
5 // This file ONLY is placed under the Creative Commons Public Domain, for
6 // any use, without warranty, 2006 by Wilson Snyder.
7 // SPDX-License-Identifier: CC0-1.0
8 
9 #include <verilated.h>
10 #include "Vt_enum_public.h"
11 
12 #include "Vt_enum_public_p3.h"
13 #include "Vt_enum_public_p62.h"
14 
sc_time_stamp()15 double sc_time_stamp() { return 0; }
16 
main(int argc,char * argv[])17 int main(int argc, char* argv[]) {
18     Vt_enum_public* topp = new Vt_enum_public;
19 
20     Verilated::debug(0);
21 
22     // Make sure public tag worked
23     if (Vt_enum_public_p3::ZERO == Vt_enum_public_p3::ONE) {}
24     if (Vt_enum_public_p62::ZERO == Vt_enum_public_p62::ALLONE) {}
25 
26     for (int i = 0; i < 10; i++) {  //
27         topp->eval();
28     }
29 
30     topp->final();
31     VL_DO_DANGLING(delete topp, topp);
32 }
33