1%Warning-USERFATAL: "f_add = 15"
2                    ... For warning description see https://verilator.org/warn/USERFATAL?v=latest
3                    ... Use "/* verilator lint_off USERFATAL */" and lint_on around source to disable this message.
4%Error: t/t_func_const_packed_array_bad.v:12:21: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2'
5                                               : ... In instance t
6        t/t_func_const_packed_array_bad.v:23:9: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
7        t/t_func_const_packed_array_bad.v:31:16: ... Called from f_add() with parameters:
8           params = [0 = 32'h7, 1 = 32'h8]
9        t/t_func_const_packed_array_bad.v:12:21: ... Called from f_add2() with parameters:
10           a = ?32?h7
11           b = ?32?h8
12           c = ?32?h9
13   12 |    localparam P24 = f_add2(7, 8, 9);
14      |                     ^~~~~~
15%Error: Exiting due to
16