1// DESCRIPTION: Verilator: Verilog Test module
2//
3// This file ONLY is placed into the Public Domain, for any use,
4// without warranty, 2020 by Yutetsu TAKATSUKASA
5
6`verilator_config
7hier_block -module "sub?"
8hier_block -module "delay"
9