1%Warning-INITIALDLY: t/t_initial_dlyass.v:18:9: Delayed assignments (<=) in initial or final block
2                                              : ... Suggest blocking assignments (=)
3   18 |       a <= 22;
4      |         ^~
5                     ... For warning description see https://verilator.org/warn/INITIALDLY?v=latest
6                     ... Use "/* verilator lint_off INITIALDLY */" and lint_on around source to disable this message.
7%Warning-INITIALDLY: t/t_initial_dlyass.v:19:9: Delayed assignments (<=) in initial or final block
8                                              : ... Suggest blocking assignments (=)
9   19 |       b <= 33;
10      |         ^~
11%Error: Exiting due to
12