1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2003 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11if ($Self->{vltmt} && exists $ENV{TRAVIS_DIST} &&
12    $ENV{TRAVIS_DIST} eq "trusty")
13{
14    skip("Multithreaded test does not work under CI w/ Ubuntu Trusty");
15}
16
17scenarios(vlt_all => 1);
18
19top_filename("t/t_leak.v");
20
21compile(
22    make_top_shell => 0,
23    make_main => 0,
24    verilator_flags2 => ["--exe $Self->{t_dir}/t_leak.cpp"],
25    make_flags => 'CPPFLAGS_ADD=-UVL_NO_LEGACY',
26    );
27
28execute(
29    check_finished => 1,
30    );
31
32ok(1);
331;
34