1%Warning-NOLATCH: t/t_lint_nolatch_bad.v:11:4: No latches detected in always_latch block
2   11 |    always_latch @(a or b)
3      |    ^~~~~~~~~~~~
4                  ... For warning description see https://verilator.org/warn/NOLATCH?v=latest
5                  ... Use "/* verilator lint_off NOLATCH */" and lint_on around source to disable this message.
6%Error: Exiting due to
7